• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,779건

이기종 시스템 소프트웨어 최적화와 데이터사이언스 기반 설계 자동화의 새로운 기준을 제시하는 연구그룹을 운영하는 것을 목표로 삼고 있습니다. 9.비 고 (기 타) 본인은 한국연구재단(NRF) 주관의 국제공동연구참여 사업 및 AI 시스템 SW 오
  • 페이지 5페이지
  • 가격 6,000원
  • 등록일 2025.04.22
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
러닝의 통계적이해, 방송통신대학교출판문화원. 이영호(2020), 2020 모두의 인공지능 with 파이썬, 길벗. 천인국(2020), 인공지능 : 파이썬으로 배우는 머신러닝과 딥러닝, 인피니티북스. 1. 딥러닝의 역사와 관련된 사건 또는 인물을 위키피디아
  • 페이지 9페이지
  • 가격 7,000원
  • 등록일 2021.09.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
탐색: glmmLasso를 통한 다층모형에서의 변수 선택. 교육과학연구. Ⅰ. 서론 Ⅱ. 본론 1. 기계학습(Machine Learning)이란 1) 기계 학습의 개념 및 배경 2) 기계 학습의 원리 (1) 지도 학습 (2) 비지도 학습 (3) 강화 학습 3) 기계 학습의 필요성
  • 페이지 11페이지
  • 가격 6,000원
  • 등록일 2024.04.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
사회복지조사연구의 과학적 연구란 2. 사회복지조사연구의 과학적 연구수행과정 1) 연구 목적 설정 2) 문헌 검토 3) 연구 설계 4) 데이터 수집 5) 데이터 분석 6) 결과 해석 7) 보고서 작성 8) 결과 발표 3. 시사점 Ⅲ. 결론 참고문헌
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2024.12.28
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
머신러닝과의 가장 큰 차이점은 알고리즘 설계를 인간이 드느냐 기계 스스로가 만드느냐에 있다. 즉 반복학습을 통해 스스로 학습을 통해 알고리즘을 생성 프로그램을 구축해 나가는 것이 머신러닝이자 지능화의 특징이다. 이러한 현상은 때
  • 페이지 10페이지
  • 가격 5,000원
  • 등록일 2022.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 29건

탐색 148 가. 전공의 학술적 깊이를 검증하는 기출문제 148 나. 간호학 학술지식의 기본적인 소양을 검증하는 100 문제 169 다. 외국어(영어) 소통능력을 검증하는 문제 176 라. 면접문제 대응이 정확하고 간결해야 하는 이유 184 ? 대학원 왜 가
  • 페이지 304페이지
  • 가격 15,900원
  • 발행일 2024.12.15
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
설계 4 3.1 변수선정 4 3.2 분석틀 5 3.3 연구대상 및 범위 선정 5 3.4 자료 수집 및 분석방법 5 4. 조사결과분석 6 4.1 신뢰도 6 4.2 설문 응답자의 일반적인 특성 6 4.3 수학 수준별 수업의 다중회귀분석결과 7 4.3.1 수학 기초반의 다중회귀분석
  • 페이지 16페이지
  • 가격 2,000원
  • 발행일 2011.02.09
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계와 작동, 고전 예술작품, 공연 제 시, 구조물 설계와 제작(목재와 접착제 이용), 기술적 수행(혁 신적인 기계 제작) 스타일 장기적 문제의 해결과정에서 얼마나 정교하게 하고 있는지를 판단하는 것 즉석문제 외부의 도움을 통제하고 자발
  • 페이지 16페이지
  • 가격 2,200원
  • 발행일 2011.08.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
2) 고정할당모형과 변이할당모형 3. 연구설계 1) 분석대상과 범위 2) 연구진행도와 분석내용 4. 분석결과 1) 변이할당분석 2) 입지계수분석과 기반승수분석 3) 고용변화추세 예측결과의 비교와 전체인구변화추세의 예측 5. 결론
  • 페이지 17페이지
  • 가격 2,300원
  • 발행일 2012.09.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 349건

탐색적 데이터 분석, 머신러닝 모델 설계와 평가까지 전 과정을 수행했으며, 특히 랜덤 포레스트와 XGBoost 알고리즘을 적용해 높은 예측 정확도를 달성했습니다. 이 과정에서 팀원들과 협력해 역할을 분담하고, 주기적으로 진행 상황을 점검하
  • 가격 2,500원
  • 등록일 2025.06.04
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
머신러닝 기반 데이터 분석 기법을 도입하여, 합성 조건-구조-성능 간 상관관계를 체계적으로 분석하고 새로운 촉매 후보군을 탐색하는 연구도 병행토록 하겠습니다. 이를 통해 연구의 혁신성과 실용성을 동시에 확보하고자 하는 바입니다.&n
  • 가격 4,500원
  • 등록일 2025.07.07
  • 파일종류 한글(hwp)
  • 직종구분 기타
탐색하고, 그 해답을 사회적 가치로 전환할 수 있는 과학자가 되도록 할 것이겠습니다. 1. 경력(대학생활 또는 직장활동 상황) 2. 지원동기 및 장래계획 3. 성격의 장단점 및 특기 4. 기타 (특기사항) 5. 석사?박사 진학시 희망 연구분
  • 가격 5,000원
  • 등록일 2025.07.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계 및 제조 공정 최적화 연구를 수행하며, 차세대 스마트 제조 및 자동화 기술을 발전시키는 데 기여하고자 합니다. 본 연구를 통해 정밀 제조 및 자동화 기술의 한계를 극복하고, AI 기반 최적화 기술을 적용하여 보다 효율적인 기계 시스템
  • 가격 4,500원
  • 등록일 2025.03.31
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계를 진행하며, 3학기에는 실험 모델 구축 및 검증, 4학기에는 논문 작성 및 실제 적용 가능성 탐색에 집중할 예정임을 말씀드리는 바입니다. 게다가 전 학문 외적으로는 산학 협력 프로젝트 및 실무형 연구 활동에도 적극 참여하고자 합니
  • 가격 4,000원
  • 등록일 2025.07.10
  • 파일종류 한글(hwp)
  • 직종구분 기타

파워포인트배경 3건

가격 : 29,900원 (-6,900원)
할인가 : 23,000원(36페이지)
가격 : 8,450원 (-1,950원)
할인가 : 6,500원(5페이지)
가격 : 46,800원 (-10,800원)
할인가 : 36,000원(36페이지)
top