• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6,641건

자판기 사전적 의미.............................................3 1.2 자판기 종류별 구조적 설명.......................................4 2 설계목표 2.1 자판기 설계 목표..............................................4 2.2 자판기 설계 조건.........................................
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
쉼터들이 비일비재하다. 졸음쉼터를 늘리는 것도 중요 하지만 진입과 이탈을 고려한 도로 설계를 충분히 고려하여 설치하는 것이 중요하다. 1. 개선방안요약 2. 현재의 상황 3. 개선방안 4. 개선 효과 5. 제약조건 6. 실현가능성
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2014.07.26
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
위한 제1의 필요조건이라고 말한다면, 제품 혹은 서비스를 생각(설계)해 내는 상상력은 주고받음에 이르기 위한 제2의 필요조건이 될 것이다. 1.인생관, 세계관 그리고 생존경쟁 2.무한경쟁에서 살아남는 지혜 3.필요를 인식하는 감수성
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2010.06.15
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
조건 3) 장애인 노동자의 차별 3. 일상에서의 장애인 노동자의 현실 1) 인간의 가장 기본적인 권리로부터의 차별 2) 허울뿐인 장애인 소득보장정책 Ⅲ. 이용할 수 있는 장애인 고용관련제도 1. 자영업 창업 2. 무료직업상담 3. 직업훈련 4. 직업
  • 페이지 13페이지
  • 가격 1,000원
  • 등록일 2005.04.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
조건 1000 600 520 80 68.85 9.02 42.64 (2) 필요철근량 산정 (3) 강도감소계수 산정 (4)철근비 검토 ∴ OK!! (5) 휨에 대한 검토 (6) 전단에 대한 검토 ∴최소 전단철근이 필요없다. (7) 사용성 검토(균열검토) - 철근콘크리트(10판) 강도설계법 (8) 휨철근 배
  • 페이지 75페이지
  • 가격 8,400원
  • 등록일 2015.05.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 47건

할 수 있도록 운영관리에 최선의 노력을 다 하겠슴. Ⅷ. 첨 부 1. 배합설계 2. 사진대지 3. 타댐 시방 배합표 Ⅰ. 개 요 Ⅱ. 관련근거 Ⅲ. 설계조건 Ⅳ. 배합시험 Ⅴ. 품질시험 결과 Ⅵ. 배합시험 결과 Ⅶ. 결 론 Ⅷ. 첨 부
  • 페이지 32페이지
  • 가격 5,000원
  • 발행일 2010.01.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
설계 조건3에서 대전물체의 가장자리에서 발생하는 전기력선의 휘어짐을 무시하였다. 이와 같은 설계 방법을 통해 정전기의 전압이 얼마나 되는지 예측 할 수 있었고, 이를 이용하여 일상생활에서 간단한 방법으로 정전기의 전압을 측정 할
  • 페이지 29페이지
  • 가격 3,000원
  • 발행일 2009.12.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계요소 1절 대류 열전달 2절. 단열 3절. 지정된 온도 4절. 무한 휜 제 3장. 실험대상선정과 공정조건 제 4장. 길이 변화 따른 온도변화 1절. 길이 변화 따른 온도변화 2절. 실험결과 제 5장. 동일 부피 따른
  • 페이지 42페이지
  • 가격 10,000원
  • 발행일 2011.12.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
서론 2. 로봇 개론과 설계 2.1 로봇의 개론 2.2 로봇의 CATIA설계 3. DAFUL 개론과 시뮬레이션 3.1 DAFUL의 개론 3.2 로봇의 구속조건 3.3 로봇의 시뮬레이션 4. 결과와 분석 5. 고찰 6. 참고 문헌 및 도움 주신분
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 344건

다. 이 프로젝트는 무기체계의 구조적 안정성을 확보하면서도 무게를 최소화해 기동성과 효율성을 높이는 것을 목표로 했습니다. 초기에는 다양한 하중 조건과 재료 특성, 그리고 설계 변수들의 복잡한 상호작용을 이해하는 데 어려움을 겪
  • 가격 2,500원
  • 등록일 2025.06.02
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계를 제시할 수 있습니다. 둘째, BMS/FMS 시스템과 연계한 설비 운전 최적화 및 개선입니다. 현장 데이터 기반으로 주요 설비(CDA, DIW, HVAC)의 부하 패턴을 분석해 자동 제어 알고리즘 개선과 운전 조건 재설계를 통해 에너지 효율 향상 및 유지
  • 가격 2,500원
  • 등록일 2025.04.07
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
설계 직무에 적합하다고 생각하는 이유는 무엇인가요? 저는 계측제어 분야에서 이론과 실무를 모두 갖춘 인재라고 자신합니다. 신호처리, 논리설계, 디지털 회로를 아우르는 전공 역량과 더불어, 연구과제를 통해 실제 산업현장과 같은 조건
  • 가격 4,000원
  • 등록일 2025.05.27
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계 능력과 문제 해결력, 그리고 최신 기술에 대한 빠른 학습 능력을 바탕으로 코웨이 제품의 품질 향상에 기여할 수 있습니다. 협업 경험을 통해 원활한 팀워크를 유지하며 프로젝트 성공을 지원할 수 있습니다. 무엇보다도 끊임없이 성장
  • 가격 3,000원
  • 등록일 2025.06.25
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
설계 자기소개서 1. 해당 직무에 지원한 동기에 대하여 기입하여 주시기 바랍니다. 2. 어떤 일에 책임감을 가지고 끝까지 완수하여 만족할 만한 성과를 낸 경험에 대해 기입하여 주시기 바랍니다. 3. 다른 사람과의 협업을 통해 혼자서
  • 가격 3,000원
  • 등록일 2025.04.07
  • 파일종류 한글(hwp)
  • 직종구분 기타
top