• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 448건

VHDL 문장은 다음과 같으며 이를 수행하고 그 결과를 분석하라. Source Code library ieee; use ieee.std_logic_1164.all; entity moore_3p is port( clk, x, reset: in std_logic; y: out std_logic_vector(2 downto 0) ); end moore_3p; architecture sample of moore_3p is type states is (s0, s1, s2, s3); signal
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2010.11.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL에 의한 결과 ⇒ logic diagram에 의한 결과 5. 결과 12진 카운터를 JK플립플롭으로 설계해봤습니다. VHDL을 사용하는 것이 아직은 익숙치 않아 힘들었지만 꽤 길게 짜여진거에 비하면 쉬웠습니다. 그리고 플립플롭의 사용 방법과 용도를 확실히
  • 페이지 8페이지
  • 가격 3,000원
  • 등록일 2007.07.17
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
카운터와 같게 나온다. 입력 X가 1일 경우 출력은 0에서 7까지 카운터 되고 X가 0일 경우 propagation delay 이후 7에서 0으로 카운터 된다. [예비실험 1-3] VHDL 언어로 다시 설계하여 비교하시오. [예비실험 2-1] Mod 9 카운터를 VHDL로 설계하시오. Mod-n 카
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2011.11.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL 소스 --********************************* 시뮬레이션을 위한 코드********************* -- ************************ 1의 자리 카운터***************************************** --*********************1의자리 디코더*************************** --************************************10의 자리
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2004.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL 언어를 이해하고 더불어 디지털 논리 회로 설계에 대해 알아보는 것이다. 처음 프로젝트를 시작할 때 HBE - COMBO 장비와 VHDL이라는 언어 사용이 처음이라서 많이 힘들고 어려웠다. 특히 장비 부족으로 실험실에서 직접 장비를 돌려가며 코드
  • 페이지 16페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 3건

VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
설계 및 제작 하였다. 또한 PLL에 사용되어지는 VCO를 설계 및 제작하였다. DDS는 높은 주파수 해상도, 빠른 lock time, 낮은 위상잡음 등의 장점이 있지만, 출력 주파수가 낮은 단점이 있다. 그러나 출력 주파수가 높은 PLL의 기준 주파수로 DDS를 사
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 5건

VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다. 1. 지원
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
카운터로 입력되면서 디코더를 통해 7-Segment로 숫자가 출력된다. 설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 3학년 1학기 전자회로실험설계 / 권 보 규 교수님 디스코 라이트 컨트롤러(Disco Light Controller) 주요 내용 ◎
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
카운터를 저장한다. d. 새로운 자바 스택 프레임은 자기 자신의 프로그램 카운터를 생성하고, 이 카운터는 호출된 메서드의 시작 부분을 가리키게 된다 e. 호출된 메서드가 종료되면 최상단 스택 프레임은 없어지며, 아래쪽에 있는 스택 프레
  • 가격 2,000원
  • 등록일 2019.11.14
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계할 것인가? 36 자동차에 대해 알고있는게 있는지? 37 우리회사 차를 어떻게 생각하느냐? 38 GM의 단점은 뭐라고 생각하는지? 39 GM의 경쟁자는 누구인가? 40 지원직무에서 본인이 어떤 기여를 할 수 있을지? 1. 면접경험&꿀팁 2. 실제 최신
  • 가격 19,900원
  • 등록일 2022.04.11
  • 파일종류 한글(hwp)
  • 직종구분 기타
top