|
VHDL 문장은 다음과 같으며 이를 수행하고 그 결과를 분석하라.
Source Code
library ieee;
use ieee.std_logic_1164.all;
entity moore_3p is
port( clk, x, reset: in std_logic;
y: out std_logic_vector(2 downto 0) );
end moore_3p;
architecture sample of moore_3p is
type states is (s0, s1, s2, s3);
signal
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2010.11.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL에 의한 결과
⇒ logic diagram에 의한 결과
5. 결과
12진 카운터를 JK플립플롭으로 설계해봤습니다. VHDL을 사용하는 것이 아직은 익숙치 않아 힘들었지만 꽤 길게 짜여진거에 비하면 쉬웠습니다. 그리고 플립플롭의 사용 방법과 용도를 확실히
|
- 페이지 8페이지
- 가격 3,000원
- 등록일 2007.07.17
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
카운터와 같게 나온다. 입력 X가 1일 경우 출력은 0에서 7까지 카운터 되고 X가 0일 경우 propagation delay 이후 7에서 0으로 카운터 된다.
[예비실험 1-3] VHDL 언어로 다시 설계하여 비교하시오.
[예비실험 2-1] Mod 9 카운터를 VHDL로 설계하시오.
Mod-n 카
|
- 페이지 16페이지
- 가격 2,000원
- 등록일 2011.11.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL 소스
--********************************* 시뮬레이션을 위한 코드*********************
-- ************************ 1의 자리 카운터*****************************************
--*********************1의자리 디코더***************************
--************************************10의 자리
|
- 페이지 16페이지
- 가격 2,000원
- 등록일 2004.12.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL 언어를 이해하고 더불어 디지털 논리 회로 설계에 대해 알아보는 것이다.
처음 프로젝트를 시작할 때 HBE - COMBO 장비와 VHDL이라는 언어 사용이 처음이라서 많이 힘들고 어려웠다. 특히 장비 부족으로 실험실에서 직접 장비를 돌려가며 코드
|
- 페이지 16페이지
- 가격 5,000원
- 등록일 2012.03.11
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|