 |
2,segs3,segs4 : out std_logic_vector(0 to 6)
);
end door_lock;
architecture t_1 of door_lock is
type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);
signal state : state_type;
signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);
signal key_d,k
|
- 페이지 51페이지
- 가격 3,000원
- 발행일 2012.06.24
- 파일종류 기타
- 발행기관
- 저자
|
 |
D. Extrapleural sign
II. 비정상적인 흉부영상소견의 유형분석(2)
2. 음영증가성 병변
2A. Air-space consolidation
2B. Interstitial lung disease
2C. Atelectasis
2D. Pulmonary nodule
3. 음영감소성 병변
3A. Swyer James syndrome
3B. Obstructive emphysema
III. 감염성 폐질환 (1)
1.
|
- 페이지 257페이지
- 가격 13,000원
- 발행일 2011.11.28
- 파일종류 기타
- 발행기관
- 저자
|
 |
2,4-D (제초제)
oxychlordane (살충제, 살균제)
DDE (살충제)
oxydemeton-methyl (살충제)
DDD (살충제)
parathion(ethyl phrathion) (살충제)
DDT (살충제)
pendimethalin (제초제)
1,2-dibromo-3-chloropropane (살선충제)
pentachloronitrobenzene(PCNB) (살균제)
dichlorovos (살충제, 살균제)
pe
|
- 페이지 21페이지
- 가격 3,900원
- 발행일 2010.02.03
- 파일종류 한글(hwp)
- 발행기관
- 저자
|