• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 10,004건

개요 이번 디지털시스템설계 수업을 들으며 익힌 내용을 토대로 자주 볼 수 있는 Vending Machine을 Altera Quartus II 를 이용하여 VHDL 언어로 코딩하고 Board로 구현함으로 VHDL설계에 대한 이해도를 높인다. 구성요소 ARTERA Quartus II 8.0 EPF10K10QC208-4 입
  • 페이지 26페이지
  • 가격 3,000원
  • 등록일 2009.01.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 설계보다 코딩으로 기술 가능한 점, Simulation을 통해 오류를 찾기 쉬운점, 정확한 논리식이 불필요하고 알고리즘에 의해 구현가능한 점, 쉽게 수정 가능한 점을 이번 Term Project를 통해 느끼게 되었습니다. 1.개요 -VHDL언어란 2. Term Pr
  • 페이지 21페이지
  • 가격 10,000원
  • 등록일 2018.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
machines/ www.eecs.umich.edu/~mazum/eecs270/lab/lab6.pdf http://accad.osu.edu/~pgerstma/class/vnv/examples/fsm/cokeMachine.pdf 1 연구배경 1.1 자판기 사전적 의미.............................................3 1.2 자판기 종류별 구조적 설명.......................................4 2 설계
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity vending is port( clk, reset : in std_logic; coin_10, coin_50, coin_100, coin_500 : in std_logic; button_coffee, button_tea, button_orange, button_cok
  • 페이지 1페이지
  • 가격 3,000원
  • 등록일 2011.08.29
  • 파일종류 기타
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
보고서.hwp <Vending Machine> ▣ 전체 회로도 및 블록도  ≪ 그 림 ≫ 전체 블록도  ≪ 그 림 ≫ 전체 블록도 1. 파워 인가시 세그먼트 1∼4까지 메뉴 5가지를 순차적으로 표시한다. 2. 돈을 입력한다. 3000원 이상시 최대 30
  • 페이지 29페이지
  • 가격 50,000원
  • 등록일 2013.11.06
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
필수 조건 - 돈을 투입 후, 잔액에 대해서도 반복적으로 구입이 가능. - 금액이 모자랄 경우, “000원 금액이 모자랍니다.” 라고 출력 후 주제 필수조건 이론설명 고찰 C CODE FILE
  • 페이지 1페이지
  • 가격 2,000원
  • 등록일 2010.04.16
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Vending Machine Design Ⅰ. 서 론 Ⅱ. 본 론 1. (LAB 3-1) Coffee&Sprite Vending Machine Design 가. State에 따른 Segment 및 추가 LED 설계 나. 입력에 따른 State 변화 및 Encoding 2. (LAB 3-2) Coffee, Sprite&Cola Vending Machine Design 가. State에 따른 Segment 및 추가 LED 설
  • 페이지 5페이지
  • 가격 1,800원
  • 등록일 2013.03.03
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
vHdl = BTSDK_INVALID_HANDLE; s_currAudioSvcHdl = BTSDK_INVALID_HANDLE; Btsdk_AVRCP_RegPassThrCmdCbk4ThirdParty(AVRCP_PassThr_Cmd_CbkFunc); Btsdk_AVRCP_RegIndCbk4ThirdParty(AVRCP_Event_CbkFunc); TestAVShowMenu(); while (ch != \'r\') { scanf(\" %c\", &ch); getchar(); if (ch == \'\\n\') { printf(\">
  • 페이지 50페이지
  • 가격 10,000원
  • 등록일 2020.11.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
이용하면 프로그램을 매우 간단 수월하게 만들 수 있을 것이다. coffee 자판기 FSM을 통하여 목적성을 가진 프로그램의 모델링을 해보았으며 VHDL을 이용한 machine들이 어떻게 구동하는지 어떤 원리로 작동하는지 알게되었다. 1. 예비조사 및
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
가운데 취급장소가 제한된 것 5) 주문배달에 의하여 소비자에게 유통되는 음료수용기(다회용이나 재활용가는 용기) 6) 역벤딩머신(Reverse Vending Machine)이용이 가능한 폐기물 4. 정부, 재활용업체 및 처리업체 Ⅶ. 결론 참고문헌
  • 페이지 13페이지
  • 가격 5,000원
  • 등록일 2009.07.14
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top