|
멀티플렉스에 대하여 해 보아서 많이 어렵진 않았다. 소스를 짜는 과정에서 CASE문과 IF문 두 가지 종류로 코딩하는 것이 어려운 부분도 있었지만 수업시간에 배운것을 활용하고 기억할 수 있어서 좋았다. 또 회로도를 그리는 것과 VHDL을 이용
|
- 페이지 4페이지
- 가격 1,500원
- 등록일 2011.06.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로를 구성하기 위해 몇 개의 트랜지스트가 필요한가?
=>옆의 회로을 표준형의 AND,OR.NOT 게이트로 그리면 아래 와 같다.그리고아래의 트랜지스트의개수는 모두18개이다.
3.10 논리함수 f(X1X2X3X4)=m(0, 1, 2, 3, 6, 8, 9, 10)에 대해 CMOS 복합 게이트를
|
- 페이지 6페이지
- 가격 1,300원
- 등록일 2004.11.23
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
multi is
port( a : in unsigned (1 downto 0);
b : in unsigned (1 downto 0);
result : out unsigned (3 downto 0));
end entity;
architecture arc of multi is
begin
result <= a * b;
end arc; Decoder(4bit BCD)
Multiplexer(8비트)
Encoder(4bit)
Comparator(4bit)
Multiplier(2bit)
|
- 페이지 6페이지
- 가격 2,000원
- 등록일 2011.11.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
멀티플렉서6X1entity MUX_6x1 is --MUX_6X1 의 입출력을 정의해준다
port ( A,B,C,D,E,F :in std_logic_vector(3 downto 0);
Sel : in std_logic_vector( 5 downto 0);
y : out std_logic_vector (3 downto 0));
end MUX_6x1;
architecture beha of Mux_6x1 is
begin
process(a,b,c,d,e,f,sel)
begin
if sel ="011111" the
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2009.05.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
입력과 전 단계에서 발생한 자리올림수를 더하도록 구성
- 두 개의 반 가산기와 한 개의 논리합 회로를 이용하여 구성
- 자리올림수를 더해 줄 수 없는 반 가산기의 단점을 보완 1. GATES(AND, OR, NOT, XOR)
2. DECODER
3.MUX(Multiplexer)
4. ADDER
ADDER AND, OR, NOT, XOR, VHDL MUX(Multiplexer), [VHDL] GATES(AND, OR, NOT, XOR), DECODER, MUX(Multiplexer), ADDER,
|
- 페이지 3페이지
- 가격 800원
- 등록일 2009.05.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|