• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,116건

설계중 Clock 설정의 학습이 부족하여 Single Path 방식으로 변경하였다. 1. CPU 소개 (1) CPU & Memory (2) 명령어 SET (3) 해밍코드 (4) 역할분담 (5) TOOL 2. FlowChart 3. Source Code (1) Hamming_code (2) CPU code 4. Test (1) R타입 (2) I타입 (3) J타입 (4) Ham
  • 페이지 16페이지
  • 가격 2,300원
  • 등록일 2012.12.11
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계(VHDL코딩을 중심으로), 대영사 이재범·남기찬(1999), 정보기술과 PC활용, 법문사 조순복·김광희, 8086/8088 마이크로프로세서와 그 주면 소자들, 집문당 Ⅰ. 개요 Ⅱ. CPU(중앙처리장치)의 개념 Ⅲ. CPU(중앙처리장치)의 구조 1. 레지스터
  • 페이지 15페이지
  • 가격 6,500원
  • 등록일 2009.03.20
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계, 사이텍미디어 ▷ 신봉희(2005), 컴퓨터 구조, 일진사 ▷ 이강현, 컴퓨터구조 설계, VHDL코딩을 중심으로, 대영사 ▷ 이재수 외, 전자계산기 일반 및 컴퓨터구조, 한올 Ⅰ. 개요 Ⅱ. CPU(중앙처리장치) Ⅲ. 메인보드(Main Board, 마더보드)
  • 페이지 9페이지
  • 가격 5,000원
  • 등록일 2009.07.13
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계, VHDL코딩을 중심으로, 대영사 Ⅰ. 컴퓨터(PC)의 구조 Ⅱ. 중앙처리장치(CPU) Ⅲ. 주기억장치 1. ROM(Read Only Memory) 2. RAM(Random Access Memory) Ⅳ. 보조기억장치 Ⅴ. 키보드 Ⅵ. 마우스 Ⅶ. 모니터 1. CRT(Cathode Ray Tube) 2. LCD(Liquid Cryst
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2009.07.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계를 하면서 CPU의 구성을 확실하게 이해하게 된 것 같습니다. 복잡 할 줄만 알았던 CPU가 단순한 연산만으로 구성되어 처리되는 것이 신기 하였습니다. 물론 아직까지 제가 모르는 부분이 많이 있다고 생각합니다. 설계를 하면서 아쉬운 점
  • 페이지 18페이지
  • 가격 13,860원
  • 등록일 2012.12.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 21건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
CPU방열판 형상 최적화설계 기계공학부, 유상우, 20081578 기계공학부, 류동균, 20041538 요 약 초 록 본 연구에서는 본 연구의 목적은 기존의 CPU방열판 성능을 개선시키기 위해서 휜의 길이, 두께,폭 등을 변수로 하여 유한요소해석(adina)따른 데이
  • 페이지 42페이지
  • 가격 10,000원
  • 발행일 2011.12.21
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
3. 조경건축, 자연 친화적 건축의 새로운 시도 제 6절 환경친화 주택건설의 올바른 방향 1. 국내 환경 친화적 건축기술 개발 동향 2. 환경 친화적 건축의 계획과 설계 Ⅳ 결론 및 논의 제 1절 결론 제 2절 논의 참고문헌
  • 페이지 84페이지
  • 가격 9,000원
  • 발행일 2008.10.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
처리실적 및 시설현황 Ⅲ. 신공항건설추진상의 문제점 1. 재원확보의 난제 2. 공항건설 기간의 장기성 3. 산적한 부지선정과정의 장애요인 4. 소음 등 기타 주민과의 갈등 Ⅳ. 발전적 과제 1. 지역적 특수성을 고려한 국가의 과감
  • 페이지 47페이지
  • 가격 5,000원
  • 발행일 2011.07.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 36건

설계 단계에서부터 효율적인 아키텍처를 고려하고, 최적화된 회로 설계를 수행하는 것이 중요합니다. 2) RTL 설계 경험이 있습니까? 답변: 네, Verilog 및 VHDL을 활용한 RTL 설계 경험이 있으며, FPGA 프로젝트에서 FIR 필터 및 영상 처리 모듈을 설
  • 가격 4,000원
  • 등록일 2025.03.20
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계를 진행할 때 Read의 오차를 해결하지 못했었는데, 앞선 방법을 사용하며 Sense Amplifier를 추가하는 등 회로를 점진적으로 수정한 결과 원하는 파형을 얻을 수 있었습니다. 네 번째로 컴퓨터구조 프로젝트에서 Verilog를 이용해 16bit CPU와 SRAM
  • 가격 2,500원
  • 등록일 2025.04.04
  • 파일종류 한글(hwp)
  • 직종구분 무역, 영업, 마케팅
방법론 측면에서는 시뮬레이션, 설계, 검증을 유기적으로 연결하는 종합적 연구 방식을 따르겠습니다. 구체적으로 Verilog/VHDL 기반의 디지털 설계, HSPICE 기반의 아날로그 회로 시뮬레이션, TCAD 기반 소자 특성 분석, 그리고 MATLAB, Python 기반의
  • 가격 4,500원
  • 등록일 2025.07.07
  • 파일종류 한글(hwp)
  • 직종구분 기타
설계, 500) 동아리 총무를 맡을 때의 일입니다. 회장을 맡고 있던 선배는 일을 처리하는 능력은 좋았지만 대부분의 일을 주로 혼자서 전담함으로 팀장들에게 일이 나누어 지지 않았습니다. 시간이 지나면서 회장은 회장대로 힘들어 하고 팀장
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
CPU 사용량을 효율적으로 관리하는 것이 매우 중요합니다. 실시간 데이터 처리를 위한 알고리즘 최적화와 함께 에너지 효율성까지 고려한 설계를 통해 시스템의 실시간 성능을 높였습니다. 예를 들어, 데이터 버퍼링 기법을 사용하여 입력 데
  • 가격 2,500원
  • 등록일 2025.04.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top