|
설계중 Clock 설정의 학습이 부족하여 Single Path 방식으로 변경하였다. 1. CPU 소개
(1) CPU & Memory
(2) 명령어 SET
(3) 해밍코드
(4) 역할분담
(5) TOOL
2. FlowChart
3. Source Code
(1) Hamming_code
(2) CPU code
4. Test
(1) R타입
(2) I타입
(3) J타입
(4) Ham
|
- 페이지 16페이지
- 가격 2,300원
- 등록일 2012.12.11
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39 1. 제목 : 고속 동작 덧셈기 설계
2. 설계 목적
3. 설계 내용
4. 분석
1) CLA (Carry Look Ahead Adder)
3) CSA (Carry Select Adder)
2) 4bit CLA Block 4개를 연결하여 16bit CLA 구현을 해준다.
5.
|
- 페이지 8페이지
- 가격 3,300원
- 등록일 2013.07.01
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
목적
VHDL로 작성된 16bit myrisc processor를 참조하여 Verilog를 이용하여 설계, 구현한다.
필요성
주어진 16bit risc processor VHDL 코드를 참조하여 이번 학기 컴퓨터 구조 수업에서 배운 RISC processor과 ALU(Arithmetic Logic Unit) 등의 구조와 Pipelining등의 기술
|
- 페이지 4페이지
- 가격 2,000원
- 등록일 2006.06.29
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL을 이용하여 곱셈기 설계
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
entity BOOTH is
generic (N : integer := 16);
port (RESET, CLOCK, LOAD : in std_logic;
MULTIPLICAND, MULTIPLIER : in std_logic_vector(N-1 downto 0);
PRODUCT : out std_logic_vector(2*N-1 downto
|
- 페이지 8페이지
- 가격 13,860원
- 등록일 2012.12.17
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
bit Adder
4-2) 1-bit ALU
4-3) Subtraction 추가
4-4) 1-bit ALU & MSB ALU
4-5) 1-bit ALU & MSB ALU
2장 – 관련연구
3장 – Design
(1) 설계 단계
1-1) 32-bit ALU
1-2) Booth’s Algorithm 곱셈기
(2) 단계별 구현
2-1) Adder, AND, OR
2-2) Ad
|
- 페이지 30페이지
- 가격 3,000원
- 등록일 2009.05.10
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|