• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,345건

설계중 Clock 설정의 학습이 부족하여 Single Path 방식으로 변경하였다. 1. CPU 소개 (1) CPU & Memory (2) 명령어 SET (3) 해밍코드 (4) 역할분담 (5) TOOL 2. FlowChart 3. Source Code (1) Hamming_code (2) CPU code 4. Test (1) R타입 (2) I타입 (3) J타입 (4) Ham
  • 페이지 16페이지
  • 가격 2,300원
  • 등록일 2012.12.11
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계를 위한 VHDL 기본과 활용, 그린, 초판, 1998 pp.31-39 1. 제목 : 고속 동작 덧셈기 설계 2. 설계 목적 3. 설계 내용 4. 분석  1) CLA (Carry Look Ahead Adder)  3) CSA (Carry Select Adder)  2) 4bit CLA Block 4개를 연결하여 16bit CLA 구현을 해준다. 5.
  • 페이지 8페이지
  • 가격 3,300원
  • 등록일 2013.07.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
목적 VHDL로 작성된 16bit myrisc processor를 참조하여 Verilog를 이용하여 설계, 구현한다. 필요성 주어진 16bit risc processor VHDL 코드를 참조하여 이번 학기 컴퓨터 구조 수업에서 배운 RISC processor과 ALU(Arithmetic Logic Unit) 등의 구조와 Pipelining등의 기술
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2006.06.29
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL을 이용하여 곱셈기 설계 library IEEE; use IEEE.std_logic_1164.ALL; use IEEE.numeric_std.ALL; entity BOOTH is generic (N : integer := 16); port (RESET, CLOCK, LOAD : in std_logic; MULTIPLICAND, MULTIPLIER : in std_logic_vector(N-1 downto 0); PRODUCT : out std_logic_vector(2*N-1 downto
  • 페이지 8페이지
  • 가격 13,860원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
bit Adder 4-2) 1-bit ALU 4-3) Subtraction 추가 4-4) 1-bit ALU & MSB ALU 4-5) 1-bit ALU & MSB ALU 2장 – 관련연구 3장 – Design (1) 설계 단계 1-1) 32-bit ALU 1-2) Booth’s Algorithm 곱셈기 (2) 단계별 구현 2-1) Adder, AND, OR 2-2) Ad
  • 페이지 30페이지
  • 가격 3,000원
  • 등록일 2009.05.10
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 17건

160 [10] \"LCD Engineering\", 성안당 2000. [11] Claremicronix corp. Driving IC MXED102, MXED101 [12] TomatoLSI corp. TL047 목 차 1. 서론 2. OLED의 구조 2-1. Passive Matrix 2-2. Active Matrix 3.OLED의 System 계략도 3-1. 일반적인 System 계략도 3-2. OLED System Block Diagra
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계과제의 필요성  1.3 설계과제 수행의 제약요인  1.4 설계과제 수행결과 기대효과  1.5 설계배경 2. 설계  2.1 관련이론  2.2 개념설계  2.3 상세설계 3. 제작  3.1 제작시 문제점 토의 4. 실험 및 결과  4.1 실험 사진  4.2
  • 페이지 31페이지
  • 가격 3,000원
  • 발행일 2014.12.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
처리 ----------------- 3.결과 ----------------- 3.1 전원부 ----------------- 3.2 DC 모터 ----------------- 3.3 서보 모터 ----------------- 3.4 프린터 포트 ----------------- 3.5 USB 캠 ----------------- 3.6 동작원리 ----------------- 3.7 영상처리 후 AVR로 데이터 전
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
관련법규 Ⅲ. 연구설계 1. 연구설계 2. 성폭력 실태(재범률) 3. 각 나라별 시행제도 비교 Ⅳ. 연구결과 1. 전자팔찌제도 찬성론 2. 전자팔찌제도 반대론 3. 전자팔찌제도가 나아가야 할 방향 Ⅴ. 맺음말 - 참고 문헌 -
  • 페이지 26페이지
  • 가격 3,000원
  • 발행일 2007.11.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 Ⅴ-3. 주요코드분석 Ⅴ-4. 실행화면 Ⅵ. 結 論 표 및 그림목차 그림 1. 도큐먼트-뷰-프레임 구조 그림 2. 기본 생성 클래스들의 상속관계 그림 3-1. TCP/IP와OSI 7 계층 프로토콜 구조의비교 그림3-2. TCP/IP 내부의 계
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 27건

설계를 진행할 때 Read의 오차를 해결하지 못했었는데, 앞선 방법을 사용하며 Sense Amplifier를 추가하는 등 회로를 점진적으로 수정한 결과 원하는 파형을 얻을 수 있었습니다. 네 번째로 컴퓨터구조 프로젝트에서 Verilog를 이용해 16bit CPU와 SRAM
  • 가격 2,500원
  • 등록일 2025.04.04
  • 파일종류 한글(hwp)
  • 직종구분 무역, 영업, 마케팅
처리하기 위해 대인관계 능력과 사회성 역시 중요하다고 봅니다. 이러한 자질을 저는 가지고 있다고 생각하며 이러한 자질의 향상을 위해 지속적으로 마인드 컨트롤을 통해 노력하고 있습니다. 저는 이러한 기본소양을 중앙대학원에서 쌓은
  • 가격 2,000원
  • 등록일 2008.11.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
13-사회복지분야 자기소개서14-식품분야 자기소개서15 자기소개서16-전자분야 자기소개서17-회계분야 자기소개서18-식품분야 자기소개서19 자기소개서20 자기소개서21 자기소개서22-병원분야 자기소개서23-설계분야 자기소개서24
  • 가격 1,700원
  • 등록일 2002.09.11
  • 파일종류 한글(hwp)
  • 직종구분 전문직
16. 생산관리/품질관리 117. 자동차 공장 생산직 118. 생산/관리업무 119. 광고회사 지원용 120. 광고/홍보/마케팅 121. 광고/홍보/기획 122. 일반공통 123. 물류(비전공사회경험) 124. 방송 기자 분야 125. 기자부분 126. 방송/언론사 127 방송사기자
  • 가격 3,000원
  • 등록일 2007.09.21
  • 파일종류 한글(hwp)
  • 직종구분 전문직
- 경영지원 11. 롯데바이오로직스 ? 공정생산 12. NH농협은행 6급 13. NH농협은행 6급 14. 고려해운 일반 사무직 15. 대한적십자사 사무직 16. 롯데백화점 MD 17. 서울교통공사 18. KB라이프파트너스 19. 하나증권 PB 20. 계룡건설 건축
  • 가격 4,000원
  • 등록일 2024.02.27
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top