• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 14건

"001100"은 남북방향 신호등은 green, 동서방향 신호등은 red -- 6 clock 발생 "010100"은 남북방향 신호등은 yellow, 동서방향 신호등은 red -- 1 clock 발생 1. 교통 신호 제어기(TLC)의 개요 2. 하위 레벨 성분의 VHDL모델링 3. 교통신호 제어 흐름도
  • 페이지 4페이지
  • 가격 1,000원
  • 등록일 2005.11.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
터 블록의 모델링 <그림 2-32> 레지스터 VHDL entity 코드 일곱 개의 컴포넌트를 이용하여 레지스터의 블록 전체를 연결하면 된다. 위에는 VHDL 코드의 entity 부분이다. 2) 레지스터 블록 다이어그램 <그림 2-33> 레지스터 블록 다이어그램 3)
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL code 1. transport delay library ieee; use ieee.std_logic_1164.all; entity bool_func is port ( x : in std_logic; y : out std_logic ); end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 3. Theory 4. Data & Result (1) VH
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
모델링을 할때 미리 짜여있는 source를 불러와서 값을 대입하는 것과 마찬가지로 ram과 rom을 이용하면 프로그램을 매우 간단 수월하게 만들 수 있을 것이다. coffee 자판기 FSM을 통하여 목적성을 가진 프로그램의 모델링을 해보았으며 VHDL을 이용
  • 페이지 7페이지
  • 가격 1,300원
  • 등록일 2010.03.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
modeling 세가지 모델링 중에서 하드웨어에 가장 가까운 표현으로서모든 컴포넌트 뿐만 아니라 이들의 상호연결도를 나타낸다. 즉 레지스터와 버스뿐만 아니라 게이트 수준의 설계를 가능하게 한다. 6. 한국의 VHDL 국내에서는 80년대 말쯤에 CAD
  • 페이지 5페이지
  • 가격 3,000원
  • 등록일 2009.02.19
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top