|
플립플랍으로 이동된다. 위의 과정이 계속해서 반복이 되고 결국 LED는 하나하나 차례대로 꺼지면서 모두 꺼지게 된다.
4. 고찰
이번 실험은 레지스터에 관한 실험이었다. 레지스터는 데이터를 기억하는 역할을 한다. 4비트로 구성되어 있는 레
|
- 페이지 5페이지
- 가격 700원
- 등록일 2011.11.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
플립플롭에서의 용어 정리
1) 준비시간, 유지시간 : setup time, hold time 이라고 한다. 순차논리회로의 기본소자는 플립플롭으로서 이 소자는 클럭이라는 입력이 변화하는 순간에만 또 다른 입력값(예를들면, D, T, J, K)의 상태에 따라 출력값이 결
|
- 페이지 2페이지
- 가격 1,000원
- 등록일 2009.08.07
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리회로는 간단하게 말해서 그냥 AND,OR gate로 구성된 회로이다.
순서논리회로는 쉽게 말해 플립플랍이라는 동기소자가 들어가있는 회로를 말하는 것이다. 1. 실험목적
2. 이론
플립플롭에서의 용어 정리
3. 실험기구
4. 실험절차
|
- 페이지 2페이지
- 가격 1,200원
- 등록일 2009.09.24
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
실험 8. 레지스터의 구성
8조
실험목적
D플립플롭을 직렬로 연결하여 시프트레지스터를 구성하고 그 동작을 확인하며, 병렬로 연결하여 일반 레지스터를 구성하고 병렬 로드 동작을 확인한다
관련이론
레지스터(register)란
기차를 타기 위하여
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2010.04.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
D
회로동작(V)
회로동작정리
FPGA
Q
-Q
Q
-Q
Q
-Q
0
X
X
X
X
X
X
X
1
0
0.24
4.89
0
1
0
1
1
1
5.01
0.01
1
0
1
0
QuartusⅡ시뮬레이션
Altera De2 board 동작사진
B.Discussion
JK플립플롭에 똑같은 신호 T를 넣어주는 것이기에 결과는 JK플립플롭에서 같은 입력이 들어가는 경우
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2008.11.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
D 플립플랍으로 설계할 경우와의 차이점은 무엇인가?
D 플립플랍으로 순차회로를 설계할 경우 다음과 같다.
현 상태 Q(t)
입력
다음 상태 Q(t+1)
플립플랍 입력
A
B
x
A
B
DA
DB
0
0
0
0
0
0
0
0
0
1
0
1
0
1
0
1
0
0
1
0
1
0
1
1
1
0
1
0
1
0
0
1
0
1
0
1
0
1
1
1
1
1
1
1
0
1
1
1
|
- 페이지 12페이지
- 가격 2,000원
- 등록일 2011.11.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
0000000\";
end if;
end process;
end behave;
테스트 벤치 코드
library ieee;
use ieee.std_logic_1164.all;
entity tb_resister is
end tb_resister;
architecture behave of tb_resister is
signal rst:std_logic;
signal clk:std_logic:=\'0\';
signal d,q:std_logic_vector(7 downto 0);
component resister
port(rst
|
- 페이지 4페이지
- 가격 800원
- 등록일 2008.03.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
D플립플롭을 이용한 기본적인 직렬 입력-직렬 출력 쉬프트인데, 이 쉬프트 레지스터 회로는 처음에는 모두 1의 결과를 나타내는 상태에서 시간이 지남에 따라 A의 0 상태가 하나씩 밀려서 A->B-> C->D 의 순서로 0이 되는 것을 확인할 수 있
|
- 페이지 6페이지
- 가격 1,500원
- 등록일 2021.09.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
플립플랍의 2QN이 첫 번째 플립플랍의 입력 1D로 연결되어 있는 걸 확인할 수 있다. 존슨 카운터를 링 카운터처럼 순차적으로 바뀌는 카운터로 사용하려면 적절한 디코딩 회로가 필요하다. 디코딩 회로를 통과하면 링 카운터처럼 순차적으로
|
- 페이지 16페이지
- 가격 2,000원
- 등록일 2011.11.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
레지스터를 카운터라고 한 다. 입력 펄스는 클럭 펄스를 사용하거나 외부로부터 얻을 수도 있다. 4개의 플립플랍을 가진 4비트 2진 카운터는 0에서 2^4 -1까지 카운트한다. 이진수의 진행순서를 살펴보면, 맨 아래 비트는 매 카운트마다 변하고
|
- 페이지 8페이지
- 가격 4,200원
- 등록일 2013.07.01
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|