|
mealy;
architecture Behavioral of counter_mealy is
type st_mealy is( a, b, c, d, e, f, g, h, i, j ,k ,l ,m ,n, o, p);
signal state : st_mealy;
signal s_input : std_logic;
begin
process(m_reset,m_clk)
begin
if m_reset = \'1\' then
s_input <= \'0\';
elsif rising_edge(m_clk) then
s_input <= m_inp
|
- 페이지 9페이지
- 가격 1,000원
- 등록일 2012.12.24
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
aly IS
PORT( Clock:INSTD_LOGIC;
w :INSTD_LOGIC;
Resetn:INSTD_LOGIC;
z : OUTSTD_LOGIC);
END mealy;
ARCHITECTURE Behavior OF mealy IS
TYPE State_type IS(A,B,C,D);
SIGNAL y: State_type;
BEGIN
PROCESS(Resetn, Clock)
BEGIN
IF Resetn = \'0\' THEN
y <= A;
ELSIF(Clock\'EVENT AND Clock =\'1\') THEN
CASE y
|
- 페이지 9페이지
- 가격 2,300원
- 등록일 2013.08.07
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
글의 내용이 많이 부족하여 레포트월드에 올라가지 않아 참고사항 및 내용 보충으로 작성하였습니다. 열심히 하시고 참고하셔서 꼭 좋은 성적 거두었으면 좋겠습니다. 다소 틀린 부분이 있다면 양해하시고 수정하여서 제출하시기 바랍니다.
|
- 페이지 4페이지
- 가격 1,300원
- 등록일 2013.08.12
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
Mealy_3p의 architectureans p1,p2 및 p3는 앞서 설명한 세 개의 process로 기술한 것이다. 아래의 entity Mealy_3p를 수행하고 그 결과를 분석하라.
Source Code
library ieee;
use ieee.std_logic_1164.all;
entity mealy_3p is
port( clk, x, reset : in std_logic;
y : out std_logic_vector(2 downto 0
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2010.11.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
counter의 결과로 동작하며, down이 ‘1’일 때는 출력 Y가 10 → 01 → 00 → 11 → 10 … 로 down counter의 형태로 동작함을 볼 수 있다.
앞서 만든 상태도와 비교하여 보면 같은 동작으로 동작함을 볼 수 있다.
▶ Mealy Machine
① state diagram ② state assignment
|
- 페이지 5페이지
- 가격 1,000원
- 등록일 2009.02.23
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|