PDMS를 포함하는 폴리우레탄의 합성 및 형상기억소재로의 응용
닫기
  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
  • 25
  • 26
  • 27
  • 28
  • 29
  • 30
  • 31
  • 32
  • 33
해당 자료는 10페이지 까지만 미리보기를 제공합니다.
10페이지 이후부터 다운로드 후 확인할 수 있습니다.

목차

1. Introduction : Polyurethane, PDMS

2. Experimental : Synthesis, Characterization

3. Results

4. Summary

5. Future Works

본문내용

폴리우레탄에 뛰어난 유연성, 생체적합성, 형상기억성 등의 특성을 부여하기 위하여 poly(dimethyl siloxane) (PDMS) 성분을 포함하는 폴리우레탄 (PU-Si)을 합성하고 이들의 물성, 열적 특성, 형상기억 특성 및 상분리 구조 등을 알아보았다. 이를 위하여 methylene diphenyl diisocyanate (MDI)와 1,4-butanediol (BD)를 하드세그먼트 (HS) 성분으로 하고, 소프트세그먼트 (SS) 성분으로 PDMS 디올과 poly(tetramethylene ether glycol) (PTMEG) 혼합폴리올을 사용하여 HS 함량이 각각 23%와 32%이면서 PDMS 함량이 다른 PU-Si를 용액중합법으로 합성하였다.
PU-Si에 PDMS 성분이 증가할수록 소수성이 커지고 HS와 SS 사이의 상분리는 감소하였다. 그러나 SS에 PDMS를 10 mol% 정도 포함시키면 상분리에 크게 영향을 미치지는 않았다. HS 함량이 23%인 PU-Si의 경우 PDMS 함량 증가에 따라 SS의 냉결정화온도 (Tcc)와 용융결정화온도 (Tmc)는 증가하였으나 용융온도 (Tm)에는 변화가 없었다. HS 함량이 32%인 시료들의 경우 PTMEG의 Tm이 HS 함량 23%인 시료들보다 4°C 정도 높은 온도에서 나타났으며 Tcc는 관찰되지 않았다. SAXS 분석결과 PU-Si 필름의 HS 함량이 23%인 경우와 HS 함량이 32%인 경우 모두에서 PDMS 함량 증가에 따라 산란 강도가 작아지는 경향을 나타내었다.
PU-Si 필름에서 HS 함량과 관계없이 PDMS 함량을 증가시키면 인장응력은 감소하는 반면 파단신도는 증가하였으며, PDMS가 포함되지 않은 시료보다 PDMS가 포함된 PU-Si 시료들이 형태 고정성은 좋지 않지만 형상기억 효과가 우수하였다.
  • 가격5,000
  • 페이지수33페이지
  • 등록일2011.02.28
  • 저작시기2009.10
  • 파일형식아크로뱃 뷰어(pdf)
  • 자료번호#652597
본 자료는 최근 2주간 다운받은 회원이 없습니다.
청소해
다운로드 장바구니