• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,448건

플립플롭의 Tplh 및 Tphl > < 74LS74 D 플립플롭의 Tplh 및 Tphl > (3) [그림 1] RS 래치의 이론적인 상태도를 그려라. 4. 결론 이번 설계실습 계획서를 통해 래치는 레벨 트리거(level trigger)에 의해서 동작하기 때문에 1-상태인 동안 입력의 변화를 출
  • 페이지 5페이지
  • 가격 6,300원
  • 등록일 2015.07.31
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
. 사전에 실험 동영상 및 데이트시트 준비 등을 통해 실험이 매끄럽게 잘 진행될 수 있도록 노력해야 할 것이다. < 참고문헌 > - DigitalDesign, J.F.Wakerly 저, PrenticeHall, 2006 < 목 적 > < 실험 과정 및 결과 > < 실험 고찰 > < 참고문헌 >
  • 페이지 3페이지
  • 가격 3,300원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
플립플롭이 동시에 상태를 변하게 된다. (a) 래치는 여기 입력에 즉시 응답 (b) 플립플롭은 클럭 신호에만 응답 (a) 상승에지 트리거 D 플립플롭 (b) 하강에지 트리거 D 플립플롭 (c) D 래치 [그림 3.5] D-플립플롭 플립플롭과 래치의 기본적인 회로
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2010.11.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
소자 내부를 통과하는 과정에서도 저항이 존재해 오차가 발생했다고 생각한다. 설계로 인하여 시간이 많이 부족할 것이라는 생각 때문에 실험에 좀 더 진지하게 임하지 못하고 빨리 끝내야겠다는 생각만하여, 예비보고서를 작성할 때 어떤
  • 페이지 11페이지
  • 가격 1,500원
  • 등록일 2017.04.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
위의 사진과 같은 파형을 얻을 수 있었을 것입니다. (7476 소자로 시뮬레이션이 가능했다면 파형은 실제실험에서 오실로스코프의 역할을 하는 vpulse소자를 이용하여 파형을 얻어낼 수 있었을 것입니다.) 실험목적 예비과제 실험
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2021.09.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 33건

소자를 이용한 스위칭 방식의 전환으로 장수명의 특징과 고 에너지밀도를 갖는 마그네트를 이용한 고 효율화 및 소형화가 유리하다는 장점을 갖고 있기 때문이다. 또한 BLDC 모터는 변속제어가 용이하다는 특징으로 많은 분야에서 그 수요가
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 및 설명   2.5.1 Distortion - 디스토션   2.5.2 Overdrive와 Distortion의 차이   2.5.3 Clean Boost - 클린 부스트   2.5.4 Fuzz - 퍼즈   2.5.5 Delay - 딜레이   2.5.6 추가 옵션   2.5.7 앰프부 - Mini Amp   2.5.8 임피던스   2.5.9 앰프부 -
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계, 건설, 유지관리, 운영을 행하지만 사업의 운영은 민간이 주도한다. <표4-2> 일본의 민간사업 추진현황 제 5장 국내 민간투자사업의 문제점 및 활성화 방안. 사회간접자본시설 투자를 활성화하여 국가 경제 산업 분야의 경쟁력을 높
  • 페이지 19페이지
  • 가격 2,500원
  • 발행일 2007.09.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1> Communication between RealAudio server and RealAudio player <그림 2> Streaming protocols used by RealSystem G2 <그림 3> 무선 멀티미디어 단말의 구성(출처 : 3GPP 규격 TS 26.234) <그림 4> 스트리밍 서비스의 기본 구성(출처 : KWISF 멀티미디어 스트리밍 서비스 규격)
  • 페이지 29페이지
  • 가격 3,900원
  • 발행일 2008.10.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
yield tiny hairpin-line resonator filter", MW&RF November 1999 [5] 곽우영,박진우, “ Hairpin Line 여파기의 간단화된 등가회로”, 한국통신학회논문지 99-9 Vol.24 N0.9A Ⅰ. 서 론 Ⅱ. 설계 이론 Ⅲ. 설계 및 시뮬레이션 Ⅳ. 제작 및 측정 Ⅴ. 결 론
  • 페이지 5페이지
  • 가격 2,000원
  • 발행일 2008.11.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 109건

디지털공학/A+] 순서논리회로의 분석 및 설계에 대하여 학습. [전자회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atm
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리대결'에 참여하여 2연승을 차지하기도 하였습니다. 이런 저의 열정과 에너지를 대우조선해양에서 발산하고 앞서 말씀드린 저의 비전을 함께 성취하고 싶습니다. ㅇ사회생활과 연수경험 - 건설에 에세이 쓸때 참고 (한화건설) 아르바이트
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
디지털통신시스템(변조 및 복조 방식, coding) C언어 - 중 / 어셈블리 - 하 / Pspice - 중 / Matlab - 하 * 해외연수, 교육, 봉사활동 <사람들 사이의 접착제> 기독교 단체를 통해 다양한 사람들을 만났고 그들과 함께 행동하면서 사람들의 행동과
  • 가격 1,000원
  • 등록일 2010.02.17
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
논리적이고 체계적으로 분석하고 해결하는 데 능숙합니다. 이러한 능력은 대학 시절부터 다양한 프로젝트와 인턴십을 통해 검증되었습니다. 특히, 반도체 설계 및 공정 최적화와 관련된 프로젝트에서 저는 기술적 도전과제를 극복하고 혁신
  • 가격 4,000원
  • 등록일 2023.12.05
  • 파일종류 워드(doc)
  • 직종구분 전문직
및 Synopsys Custom Compiler를 활용하여 과제를 수행한 경험이 있습니다. 그 외에도 IDEC등 외부 강의를 수강하며 회로설계 및 반도체 8대 공정에 대해 지식을 쌓았습니다. 현재는 학부 수업을 들으면서 VLSI회로설계, 아날로그 집적회로, 반도체 프로
  • 가격 3,000원
  • 등록일 2023.02.21
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top