• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,952건

회로도 (1)3진수 회로 (2)10진수 회로 (3) 최종 결과 회로 3.설계결과 10진수(3진수) 출력파형 ON 1(001) 2(002) 3(010) 4(011) 5(012) 6(020) 7(021) 8(022) 9(100) 4. TROUBLE SHOOTING 문제점 해결방안 회로의 복잡함으로 인한 가격,실용성 문제 ☞ 더 간단한 회로를 구성
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.09.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
전기전자회로실험 - 실험6. 논리조합회로의 설계 - 1.개요 ◎ 논리게이트 조합으로 복잡한 논리적 함수관계 구현 및 불필요하게 복잡한 논리를 단순화 시키는 K-map 응용 방법을 익히고 don’t care 조건을 다루는 예를 실습한다. ◎ 조
  • 페이지 35페이지
  • 가격 3,000원
  • 등록일 2012.11.01
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송 실험
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로 및 시스템”, 문운당 [4] 대한전자공학회, “디지털 전자회로 및 시스템 실험”, 청문각 [5] 이행우, “디지털회로설계 실습”, 과학기술 [6] 박용수, “디지털 논리 설계”, 북두출판사 [7] 김정태 “디지털 이론 및 실험”, 차송 실험
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계대로 y를 내부 신호로 설정해주고 1. 가산기 2. 4비트 가산기 3. y 벡터와 m의 xor 연산 하는 단계를 더해 주는 식으로 회로를 구현하였다면 이런 부분에서 실수를 하지 않았을 것이라는 점을 배웠다. 이번 실험은 단순하였기 때문에 실수 하
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 26건

설계하였다. <1> 전하펌프를 설계하는데 있어서는 위에 회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
작 시의 주의사항이었다. 회로제작은 설계사항에 따라 NE555 - 7490 - 7442 - LED 로 구성하여 NE555에서 구형파를 발생시켜 넣어주면 LED 다이오드가 순차적으로 발광되도록 하였다. 그리고 LED 다이오드가 ON되는 순차적인 속도는 9V의 입력을 받는 첫
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
yield tiny hairpin-line resonator filter", MW&RF November 1999 [5] 곽우영,박진우, “ Hairpin Line 여파기의 간단화된 등가회로”, 한국통신학회논문지 99-9 Vol.24 N0.9A Ⅰ. 서 론 Ⅱ. 설계 이론 Ⅲ. 설계 및 시뮬레이션 Ⅳ. 제작 및 측정 Ⅴ. 결 론
  • 페이지 5페이지
  • 가격 2,000원
  • 발행일 2008.11.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계된 PLL 모듈의 규격은 표 4.1과 같다. 4.2.1 PLL 설계 표 4.1 PLL 모듈의 설계 규격 항목 단위 설계규격 주파수 대역 MHz 800 직류 공급전원 V 5 기준 주파수 MHz 7 스퓨리어스 dBc <-70 위상잡음 dBc/Hz @1kHz offset <-80 설계된 PLL회로의 특성을 미리 알
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계하였다. 디지털액자를 설계하기까지 많은 시행착오가 있었다. MCU 회로 설계 과정, RS-232 통신 문제 등 이런 문제들을 해결하기 위해 인터넷에서 조사한 회로를 보고 우선 회로에 대해 분석해보기로 했다. 그러나 RS-232 직렬통신, ZeeBee 무선
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 188건

설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로1(2013) / A-학점 취득 회로이론1(2013) / A+학점 취득 전자장1(2013) / A학점 취득 전자회로2(2013) / B+학점 취득 회로이론2(2013) / A+학점 취득 전자장2(2013) / A학점 취득 마이크로프로세서0000(2014) / A학점 취득 전자회로설계(2014) / A학점 취득 물리전
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
설계자가 진로희망이기 때문에 전공과목에 있어서 C언어, 회로 이론, 논리 회로, 신호와 시스템, 회로와 시스템, 임베디드 시스템 과목을 선택하여 깊게 공부하여야 한다. 인턴에 지원하여 실무 경험도 쌓아야 할 것이다. 거의 모든 전공서적
  • 가격 4,000원
  • 등록일 2017.10.09
  • 파일종류 한글(hwp)
  • 직종구분 교육 강사직
회로에 대한 이해를 바탕으로 목표 애플리케이션에 최적화된 설계 역량이 필요합니다. 저는 이를 위해 다음과 같은 경험을 쌓아왔습니다. 첫째, 직무 수행에 필요한 전공 지식을 갖췄습니다. 전자회로1,2, 집적회로, 디지털논리회로1,2, 고급
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직

서식 1건

top