• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,226건

 실험8 논리회로 간소화 실험 목표 사용 부품 이론 요약 실험순서 실험8보고서 실험목표: 결과 및 결론: 평가 및 복습문제 ▶고찰
  • 페이지 15페이지
  • 가격 1,500원
  • 등록일 2015.04.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
카나프 맵 상에서 같은 양단 끝에 있는 1의 표시 칸은 합하여 1개의 루프로 만들어 묶을 수 있다. (Rolling) ▶ 비교기 회로도 ▶ 그림 8-5 회로도 논리회로의 간소화 ■ 실험 목표 ■ 사용 부품 ■ 관련이론 ■ 실험 순서 ■ 심층 탐구
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2010.04.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
8-4 무효 BCD-코드 감지기 진리표에 대한 Karnaugh 맵 맵으로부터 읽은 최소 SOP: X = ABCD + ABCD + ABCD ▶ 회로도 ● 카르노 맵 ( Karnaugh ) ㆍ맵은 여러 개의 사각형으로 구성 ㆍ각각의 사각형은 민텀을 표시 ㆍ출력값에 따라 각 사각형에 0이나 1을 표시
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2010.04.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로를 구성한 결과 표 8-5의 실험결과로 확인 할 수 있듯이 3, 6, 9 일 때 LED가 꺼지는 것을 보일 수 있음 비고 및 고찰 : 이번 실험은 무효 BCD-코드 감지기에 대한 진리표를 작성하고 Karnaugh맵을 이용하여 표현식을 간소화하여 회로를 간단하게
  • 페이지 7페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이러한 문제를 해결하기 위해 출력을 반전시켜 X가 LOW 논리 레벨로 LED를 켜도록 사용된다. 그림 8-5의 회로는 실험순서 3에서 나온 표현식을 구현한다. 하지만 출력은 전류를 공급하기보다는 수요하도록 반전되어 있다. 5. 그림 8-5의 회로는 단
  • 페이지 4페이지
  • 가격 1,900원
  • 등록일 2011.12.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 10건

8 國文抄錄 ⅱ 제 1 장 서 론 1 1.1 설계 배경 1 제 2 장 본 론 2 2.1 구조 및 동작원리 2 2.2 등가회로 분석 3 2.3 실험장치 구성 및 방법 11 2.3.1 실험장치 구성 11 2.3.2 실험 방법 12 2.4 분석 사항 13 제 3 장 실
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
8 LED Light / Power(+3.3v) [그림 4-14] LED Light / Power(+3.3v) 제 5 장 제작 및 실험 5.1 조작부 회로기판 < LCD 부착 전 > < LCD 부착 후 > < 조작부 뒷 판 > [그림 5-1] 조작부 회로기판 5.2 동작부 회로기판 < 동작부 회로기판 앞 > < 동작부 회
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로를 추가하여 일정한 주파수 이하에서는 전압의 공급을 차단시키는 역할을 함으로써 VCDL에 인가되는 전압의 양을 조절하게 된다. <8> 원래 1차 시스템인 DLL에서는 단지 한 개의 capacitor를 사용하여 루프필터를 구현 할 수 있다. 그리고
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 발광 다이오드 3EA 붉은색 1개, 녹색 2개 (LED) 저항 3EA 2개, 1개 브리지다이오드 1EA 4단자 건전지 1EA 9V 표 2. 적외선 송신기 부품 목록 품 목 수 량 비 고 IC 2EA TC9148 1개, 455 1개 capacitor 및 콘덴서 3EA 101J 2개, 1개 다이오드 4EA 발광다이오드 1개(
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
as a plug-in type. 단일 전류센서를 갖는 단상 반브릿지 능동전력필터 1. 서론 2. 능동전력필터의 원리 3. 단일 전류센서 능동전력필터 4. 시뮬레이션 모형과 결과 5. 프로토타입 제작 및 실험 6. 결과 참고문헌 Abstract
  • 페이지 17페이지
  • 가격 10,000원
  • 발행일 2009.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 19건

8. 자기소개서8 회로설계 9. 자기소개서9 환경·플랜트 10. 자기소개서10 R&D(연구개발) 1) 도전적인 목표를 정하고 열정적으로 일을 추진했던 경험을 구체적으로 기술해 주십시오. 특히, 일을 추진해 나가는 데 있어서 어려웠던 점과 그 결과에
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
실험 진행 19/07~19/08 교외 포항공과대학교 인턴십 OOO 교수님의 OOO lab에서 인턴십 19/01~19/02 교내 이화여자대학교 인턴십 OOO 교수님의 Ewha Brain Institute에서 인턴십 19/06~19/10 교외 서울대학교 전국 대학생 생물학 심포지엄 노화 뇌에서 발생하는 세
  • 가격 2,300원
  • 등록일 2021.02.18
  • 파일종류 한글(hwp)
  • 직종구분 기타
회로및설계(2)/A+] 응용회로와 다양한 형태의 회로를 설계 하였습니다. [전력전자/A+] 전력반도체 소자와 전력전자 컨버터 회로에 대해서 학습. [마이크로콘트롤러설계/A+] AVR Atmega16을 이용한 설계. [제어공학실험(2)/A+] 회로를 이해하고 분석할
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
8. 직장동료들과 인간관계는 어떻게 할 것인가? 9. 가스를 설명해보라. 10. 지중전선로의 방식을 말해보라. 12. 동시에 일을 겹칠 때 어떻게 할 것인가? 13. 코로나 현상에 대해 설명해보라. 14. 플레밍 법칙을 설명해보라. 15. 당사 건물보고 느낀
  • 가격 2,000원
  • 등록일 2015.06.20
  • 파일종류 한글(hwp)
  • 직종구분 기타
top