• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,483건

회로를 설계하여라. 2-level AND-OR(NAND-NAND) logic 회로도 (4) XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계하여라. = + + + = = + + + = ( + ) + (+) = () + 다단계 조합 논리 회로도 (5) 4-비트 가산기 회로를 위의 전가산기 회로를
  • 페이지 4페이지
  • 가격 1,300원
  • 등록일 2014.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로 실험, 생능출판사 이순흠 외 3명(2008), 웹기반 디지털 논리회로 가상실험실의 교육효과, 한국컴퓨터교육학회 오윤정(2005), 부울대수와 논리회로 학습을 위한 웹 코스웨어 설계 및 구현, 전남대학교 최수정(2004), 조합논리회로 학습을
  • 페이지 18페이지
  • 가격 9,000원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
부울대수의 공리와 정리를 이용 Y=B+BC+ABC =B+BC+BC+ABC =B(C+)+BC(+A) = B+BC ② 카르노 맵 이용방법 Y AB C 00 01 11 10 0 0 1 0 0 1 0 1 1 0 Y= B+BC 1. 부울대수 2. 부울대수의 기본공리 3. 부울대수의 제반 정리 4. 조합논리회로 5. 카르노 맵(Karnaugh Map) 6.
  • 페이지 4페이지
  • 가격 500원
  • 등록일 2010.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
부울 대수식은 ③ ②의 결과식은 ①의 결과식을 정리함으로써도 유도된다. - 즉, 카르노 맵의 에지에 있는 1들은 반대편 에지의 1들과 group 지을 수 있다. Logic Circuit 간략화 후 OR-AND 회로, 또는 등가의 NOR-NOR 회로를 그림으로써 논리회로(logic cir
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2006.05.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
. 논리적 함수관계를 나타내는 법 ◎ 논리회로도로 나태내는 방식 ◎ 부울대수에 의한 수학적 기호로 나타낸 표현 방식 ◎ 진리표로 나타내는 방식 1.개요 2. 논리회로와 단순화 3. K – MAP 과 진리표 4. 가산기 ( Adder )
  • 페이지 35페이지
  • 가격 3,000원
  • 등록일 2012.11.01
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 9건

및 개혁방안, 민주언론운동 시민연합 세미나, 전국언론노동조합, 2003. 정윤식, “한미 FTA가 방송 경영, 문화, 공익성에 미치는 영향 전망 - 지상파 방송을 중심으로-”, 한국 FTA시대의 미디어산업 세미 나토론, 한국언론학회, 2007. 문화관광부,
  • 페이지 50페이지
  • 가격 3,000원
  • 발행일 2010.01.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 및 설명   2.5.1 Distortion - 디스토션   2.5.2 Overdrive와 Distortion의 차이   2.5.3 Clean Boost - 클린 부스트   2.5.4 Fuzz - 퍼즈   2.5.5 Delay - 딜레이   2.5.6 추가 옵션   2.5.7 앰프부 - Mini Amp   2.5.8 임피던스   2.5.9 앰프부 -
  • 페이지 33페이지
  • 가격 7,000원
  • 발행일 2012.12.13
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
as a plug-in type. 단일 전류센서를 갖는 단상 반브릿지 능동전력필터 1. 서론 2. 능동전력필터의 원리 3. 단일 전류센서 능동전력필터 4. 시뮬레이션 모형과 결과 5. 프로토타입 제작 및 실험 6. 결과 참고문헌 Abstract
  • 페이지 17페이지
  • 가격 10,000원
  • 발행일 2009.04.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 19건

[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
및 지원 직무 관련된 이력을 간결하게 나열해주시기 바랍니다 (100자 ~ 500자) C프로그래밍과 00(2009) / B+학점 취득 컴퓨터00론(2009) / A-학점 취득 논리회로(2013) / A+학점 취득 전자회로1(2013) / A-학점 취득 회로이론1(2013) / A+학점 취득 전자장1(2013) /
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
및 근거를, 본인의 노력과 경험을 바탕으로 제시해 주시기 바랍니다.[200자 이상 1000자 이내] 3. 입사 후 현대모비스의 발전을 위해 본인이 어떠한 노력을 할 것인지를 중장기적인 관점에서 기재해 주시기 바랍니다.[200자 이상 700자 이내]
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top