• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 772건

SEGMENT_7: (STATE == 5) ? `SEGMENT_6: (STATE == 6) ? `SEGMENT_5: (STATE == 7) ? `SEGMENT_4: (STATE == 8) ? `SEGMENT_3: (STATE == 9) ? `SEGMENT_2: (STATE == 10) ? `SEGMENT_1: `SEGMENT_0; assign{Q} = (STATE == 0) ? 8'b10000000: 8'b10000000; endmodule <모델심을 이용하여 세그먼트 카운터 컴파일> &l
  • 페이지 3페이지
  • 가격 1,000원
  • 등록일 2009.07.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
빨간색으로 표시하였다. // 또한 설명이 필요한 코드 부분은 파란색으로 표시하여 주석을 달았다. Ⅰ. 과제개요 Ⅱ. 설계 Ⅲ. 구현 Ⅳ. 테스트 프로그램의 구성 및 테스트의 주안점 Ⅴ. 테스트 및 결과 - 도식화 Ⅵ. 소스코드
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2009.04.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
프로그램 소스 (c 소스파일 + make 파일) / 한글 보고서 파일 (배경지식,코드 다이어그램,알고리즘,결과,고찰) 레드햇9 / 페도라6,7,8 / gcc version 3.x ~ 4.x / 소스 코드 컴파일 및 실행 확인 
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2008.04.02
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
소스 (c 소스파일 + make 파일) / 한글 보고서 파일 (배경지식,코드 다이어그램,알고리즘,결과,고찰) 레드햇9 / 페도라6,7,8 / gcc version 3.x ~ 4.x / 소스 코드 컴파일 및 실행 확인 
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2008.04.02
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
CTC 모드로 사용하였다. 그리고 프리스케일러의 분주비는 256, OCR1A 의 값은 624이므로 계산은 다음과 같다. Ⅰ. 목 표 Ⅱ. 개발환경 Ⅲ. AVR을 이용한 스탑워치 설계 1. 부품리스트 2. 회로도 3. 소스코드 4. 실험결과 5. 원리 및 동작해석
  • 페이지 11페이지
  • 가격 5,000원
  • 등록일 2015.10.28
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 9건

세그먼트 깜빡거림으로 표시 - 알람 울릴때 계속 안누르면 50번까지만 울리고 자동 해제 기능. - 1개 세그먼트 단위로 알람시간 셋팅 기능 입니다... 첨부파일에는 프로그램소스, 보고서한글파일, 보고서 PPT파일, 하드웨어 사진
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
---------- p.26 Ⅴ. 결과 고찰 ----------------------- p.27 Ⅵ. 결 론 ------------------------- p.29 Ⅶ. 참고 문헌 ------------------------ p.30 Ⅷ. 부 록 (1) 전체 회로도 ------------------- p.31 (2) 프로그램 소스 ------------------ p.32
  • 페이지 40페이지
  • 가격 5,000원
  • 발행일 2009.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 내부 인터럽트 소스 2.2.2 AVR의 프로그램 방법인 ISP에 관하여 AVR에서 칩을 타겟보드에서 장착한 상태에서 프로그래밍(In System Programing:ISP)을 가능하게 해주는 툴(H/W, S/W)을 AVR ISP라 하며, ISP 하드웨어는 패러널 포트와 연결 가능한 어댑터
  • 페이지 67페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자
및 DB를 보호해야 하는 것이다. 두 번째로 인터넷상에서 송수신되는 소비자의 신용정보 및 지불정보를 안전하게 보호하는 것이다. 연구의 한계성과 향후 연구 방법 전자상거래의 도래, 인터넷의 급속한 확산, 모든 생활의 정보화 이런 말들이
  • 페이지 18페이지
  • 가격 3,000원
  • 발행일 2010.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
및 향후 연구방향 본 연구는 컴퓨터프로그램과 저작권에 대한 기존 연구들을 고찰해 봄으로써 저작권에 대한 문제가 되고 있는 컴퓨터프로그램 부문에 대하여 이러한 문제점을 알아보고 개선방안을 제시하였다. 그러나 이러한 모든 연구과
  • 페이지 25페이지
  • 가격 3,500원
  • 발행일 2006.10.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 8건

의 비중을 높여나가기로 했습니다. 그 후 어수선한 시간을 이겨내어 광화문 세운상가를 돌며 라즈베리파이 보드 및 다양한 센서를 구매했고, 소스코드를 구현하는 등의 노력으로 실력을 증진해 임베디드 소프트웨어 경진대회 참가라는 값진
  • 가격 2,000원
  • 등록일 2022.03.24
  • 파일종류 한글(hwp)
  • 직종구분 공사, 공무원
및 다양한 센서를 구매했고, 소스코드를 구현하는 등의 노력으로 실력을 증진해 임베디드 소프트웨어 경진대회 참가라는 값진 경험을 만들었습니다. 이렇게 끝까지 노력할 수 있었던 원동력은 단체생활의 중요성을 항상 강조하셨던 아버님
  • 가격 2,000원
  • 등록일 2022.03.24
  • 파일종류 한글(hwp)
  • 직종구분 공사, 공무원
및 구현 가능 - Sendmail, Qmail 설치, 운영 및 최적화 - kernel 의 기본적인 이해 및 시스템 최적화 - shell scripts 제작 가능(Bash, Perl 등) - PHP 소스 분석 가능 Windows 계열 - Exchange Server 설치, 운영 및 최적화 - Active Directory 개념이해 및 구축 - Clust
  • 가격 5,500원
  • 등록일 2006.05.31
  • 파일종류 압축파일
  • 직종구분 기타
어려운 특별한 체험이나 남다른 성취가 있다면 기재하여 주시기 바랍니다. *CJ시스템즈 지원자는, 본인의 진행 프로젝트 경험 및 경력사항, 자격증, 솔루션 기술에 대해 추가기술사항이 있으면 아래에 서술해 주시기 바랍니다.(2000자 이내)
  • 가격 1,000원
  • 등록일 2008.11.16
  • 파일종류 한글(hwp)
  • 직종구분 전문직
작성TIP ] 1. 자기소개 (400자 이내) “Act, then you will get it” 2. 장점 (200자 이내) “엉덩이에 본드 칠 해놨냐?” 3. 보완점 (200자 이내) “적응력 앞에 단점 없다” 4. 지원동기 및 포부 (500자 이내) “What do u want to do?” [ 면접내용 ]
  • 가격 1,300원
  • 등록일 2013.03.07
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top