• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 4,777건

할 수 있다. 근사해석으로 작동점을 결정 할 경우, , , 참고 문헌 고정 바이어스 기초전기전자공학 5판 김종수 기초전자회로실험 남춘우 역 전압 분배 바이어스 기초전자회로실험 남춘우 역 회로 해석 방법 현대전자회로 장학신 저 전자 회
  • 페이지 2페이지
  • 가격 500원
  • 등록일 2011.06.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험의 여건상 제대로 Ib에 따른 각 region 별 콜렉터 특성을 살펴보고 비교할 수 없어서 아쉽다. 고정바이어스 실험과 전압분배기 바이어스 실험에서는 단순히 회로만 꾸며보고 그 특성을 살펴보았을 뿐 거기에 따른 트랜지스터별로 비교하거
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2009.05.06
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
전압분배기 바이어스가 1.01% 로서 전압분배기 바이어스 회로 변화율이 고정바이어스 회로보다 작다 즉, 전압분배기 바이어스 회로가 변화에 따른 전류, 전압 변화가 작기 때문에 고정 바이 어스 회로 보다 안정적이다. 1. 실험 목적 2.
  • 페이지 6페이지
  • 가격 2,000원
  • 등록일 2011.06.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 1. 목적 2. 실험장비 3. 이론개요 4. 실험순서 5. 토의 및 고찰
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.12.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
전압 분배기 바이어스 : 가 증가하면 안정성이 감소한다. 참고 문헌 설계 방법 전자 회로 - Robert L. Boylestad, LOUUS NASHELSKY 저 표준 저항 값 결정 NAVER 지식 IN 표준 저항 값 BJT 설계 기초전자회로실험 남춘우 역 설계 기준 기초전자회로실험 남춘
  • 페이지 2페이지
  • 가격 500원
  • 등록일 2011.06.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 15건

기본개념과 동작원리 5. Chage Pump (전하펌프)의 기본개념과 동작원리 6. VCO (전압제어 발진기) 기본개념과 동작원리 7. VCDL (전압제어 지연단) 기본개념과 동작원리 8. DLL 구현 및 시물레이션 9. 결론 ♦ 참고문헌
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로 표 5. 음성 녹음 재생기 부품 목록 품 목 수 량 비 고 IC 3EA IC 1개, KA386B 1개, 7805 1개(정전압 5V용) capacitor 및 콘덴서 10EA 104 3개, 103 1개, 1개, 1개, 2개, 1개, 1개 저항 8EA 2개, 4개, 1개, 1개 transistor 1EA 2SC1815 1개 다이오드 2EA 정류다이오드 1개, 발
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실험은 전자가 p-type 구역으로 흘러 들어간다는 사실을 입증하긴 했으나 그 전자들이 어디서 오는지는 말해주지 못한다. 그리고 전자 누출에 관한 슈베르트의 이론이 그 결과를 설명해 줄 수 있기는 하지만 다른 식으로 설명해낼 수 있는 여지
  • 페이지 10페이지
  • 가격 1,000원
  • 발행일 2009.12.14
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
실험 계통도 등가회로 [그림 2]는 사고전류제한기의 실험 계통도를 나타내었으며 [그림 3]는 이 계통을 등가회로로 나타내었다. 사고전류제한기의 특성을 알아보기 위해 측정 대상으로 전압은 , , 전류는 을 측정한다. 는 전류제한기(SFCL) 양단
  • 페이지 19페이지
  • 가격 3,000원
  • 발행일 2010.05.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도 1. Robot System 회로도 2. Receiver System 회로도 3. AVR ISP Programmer 회로도 Ⅳ. 실험 1.Robot부분 TEST--------------------------- 1) Robot_Main부분 Test 2) Receive LCD Part TEST Ⅴ. 결과고찰------------------------------- Ⅵ. 결론-----------------------------------
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 29건

전자시스템. 설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 4학년 교내 창의적 종합설계 경진대회 / 권 보 규 교수님 인체 감지형 동작 광고판 주요 내용 ◎ 목 적 : 정적인 광고 대신 동적인 광고를 통한 광고효과 극대
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
회로 소자 중 선형 소자인 저항, 캐패시터, 인덕터에 대하여 그 개념에 대하여 공부하고, 이들 소자로 구성된 회로에 대하여 수학적인 모델을 세우고 전압, 전류를 구해 기본적인 이론을 학습할 수 있었습니다.. 전자전기실험에서도 마찬가지
  • 가격 4,500원
  • 등록일 2021.11.08
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
전자부품 생산기기와 복합환경시험기, 전자파 간 실험을 통하여 경신이 No.1 기업으로 비상하는 계기로 삼겠습니다. 그리고 사회공헌 활동에도 적극적으로 뛰어들어 노사협력을 중시하고 과거 전반적으로 고용시장 사정이 악화됐던 당시 현
  • 가격 2,300원
  • 등록일 2013.01.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
회로설계 및 언어’에서 verilog 언어를 이용해 Quartus로 디지털회로를 설계하여 좋은 성적을 받았고, ‘기초회로실험’을 들으면서 Pspice로 아날로그회로도 설계해 보았습니다. 이러한 지식을 바탕으로 더욱 발전시켜나간다면 LG전자에 입사하
  • 가격 3,000원
  • 등록일 2023.02.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
전자회로실험과 디지털회로설계의 기초를 바탕으로 창의적인 설계와 효율적인 제품을 만들기 위해 노력하겠습니다. SK E&S에서 엔지니어로 시작하여, 항상 노력하고 최고를 향한 초심의 마음으로 성장해 나갈 것입니다. 그리고 회사의 전반적
  • 가격 1,000원
  • 등록일 2011.04.11
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top