• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 114건

사용하도록 노력했습니다. 절대 후회 안하실겁니다! 같은 학교에서 중복구매가 되지 않도록 미리 확인하시기 바라며 졸작 참고용도로 사용하시기 바랍니다. 압축 해제 시 파일 목록입니다. 10.20일자 보고서 프로그램 졸작(VBNS).zip
  • 페이지 108페이지
  • 가격 19,000원
  • 등록일 2013.09.17
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
안녕하세요! 조금만 프로그래밍을 할 줄 아시면 금새 익히실 수 있는 스마트 알람입니다. 기존의 알림기능 외 다른 색다른 기능을 추가하였습니다. 코드가 상당히 쉽게 구현되어 있습니다 이클립스 및 안드로이드 스튜디오를 쓰시기
  • 페이지 103페이지
  • 가격 2,000원
  • 등록일 2015.06.11
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
소형자동문.hwp 재료 CPU 부 : ATmega8 Mortor 부 : KH42HM2-901 , SLA7026 Sensor 부 : BA2M-DDT <장거리 적외선 센서> 전 원 부 : 7805 <12v를 5v로 변환>, 7805용 방열판, C 100uF, 토글-3P , limit 스위치, 발광 다이오드 기타 부품 : PCB보드, 래핑 와이
  • 페이지 37페이지
  • 가격 8,000원
  • 등록일 2012.12.14
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
비베 비쥬얼베이직 비주얼베이직 타자게임 프로그램 과제 졸작 비베 비쥬얼베이직 비주얼베이직 타자게임 프로그램 과제 졸작
  • 페이지 16페이지
  • 가격 2,000원
  • 등록일 2010.06.14
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Redplay osu! Mod Beatmap Generator Patterns.doc V PATTERN - 5 points, 4 segments - 45* diagonals - segment length:  Sqrt((0.5^2) + (0.5^2))  = sqrt(0.5) = 0.707 - total length: 2.828 - dimension modifier:  2.828/2.828 = 1.000  = x1.00   ≪ 그 래 프 ≫ Z PATTERN - 5 point
  • 페이지 452페이지
  • 가격 3,000원
  • 등록일 2015.06.20
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 5건

iage, la belle-sœur d`Anne. Therese의 유일한 친구였던 Anne와 더욱 가까워진다는 것이 Therese가 Bernard와 결혼한 이유 중 하나였다. 그리고 Anne와 Jean의 연애로 Therese 가 Jean 과 만날 수 있는 기회를 제공받는 다는 점에서도 중요한 위치를 차지하고 있다.
  • 페이지 23페이지
  • 가격 5,000원
  • 발행일 2008.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
본 로봇의 미션은 자갈밭이나 모래가 많이 쌓인 Terrain(지역,지대) 즉, 보통의 라인트레이서로는 도달 불가능한 곳까지 무거운 자재나 운반물을 수송하는 것이다. 구현 방식으로는 AVR Atmega 128을 기반으로 하여 서보모터를 구동 및 제어하고, 무
  • 페이지 23페이지
  • 가격 5,000원
  • 발행일 2008.12.27
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
. 1.개발배경 및 동기 2.작품제작시 문제점 및 해결방안 3.주요 사용부품 4.회로도1(담배연기감지부분) 5.회로도2(음성안내부분) 6.전체동작과정 간략설명 7.이번 작품의 한계 및 개선방안 8.결론
  • 페이지 10페이지
  • 가격 30,000원
  • 발행일 2009.11.12
  • 파일종류 피피티(ppt)
  • 발행기관
  • 저자
x28); //lcd의 명령어 중 초기화 설정 //5*7폰트, 2라인, 4Bit 사용 //블링크사용 안함 delay(5); //delay함수 호출과 인자값 5을 넘겨 줌 ir_out(0x0c); //lcd의 명령어중 Display On/Off //글자표시, 커서는 표시 안함, 커서위치에 해당하는 문자 //는 점멸하지 않는
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
작 시의 주의사항이었다. 회로제작은 설계사항에 따라 NE555 - 7490 - 7442 - LED 로 구성하여 NE555에서 구형파를 발생시켜 넣어주면 LED 다이오드가 순차적으로 발광되도록 하였다. 그리고 LED 다이오드가 ON되는 순차적인 속도는 9V의 입력을 받는 첫
  • 페이지 25페이지
  • 가격 2,000원
  • 발행일 2010.06.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 1건

졸작은 걸작이 되다> 대학 생활의 ‘꽃’ 졸업 작품 프로젝트 때였습니다. 지도교수님의 소개로 특허청 관련 업무를 하시는 선배님이 수업에 참관하시고 좋은 작품은 특허출원까지 도와주신다는 솔깃한 제안을 하셨습니다. 4년 동안의
  • 가격 3,300원
  • 등록일 2012.06.01
  • 파일종류 워드(doc)
  • 직종구분 IT, 정보통신
top