• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 128건

ATmega128 을 탑재한 시스템 구현 ? 적외선 센서를 이용한 물체접근 감지 ? 스테핑 모터에 의한 동작 제어 팀원 별 역할 분담 ? : 회로도 OrCAD설계, 프로그래밍 ? : 모터부, 센서부, 전원부 회로 제작 ? : 외형제작 자동문 제
  • 페이지 36페이지
  • 가격 7,000원
  • 등록일 2012.06.17
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
끝나면 타이머0 정지 if(n < m_bit[i]){ // 박자를 다 안 채우면 TCNT0 = note[i]; // 타이머 초기값 재설정 PORTC.7 = !PORTC.7; // 토글하여 파형 만들기 n++; } else{ n = 0; i++; // 박자 다 채우면 다음 음게, 박자를 위한 증가 } if(i == 24) i = 0; // 음악 끝나면
  • 페이지 19페이지
  • 가격 20,000원
  • 등록일 2014.01.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
atmega128 이라는 CPU를 이용하여 작품을 만들어 보았습니다. 공학도라면 창의적이여야 한다는 기존 머릿속에 박힌 생각 때문인지 작품을 선정할 때 많은 고민을 하였습니다. LED 큐브로 display되는 모션캡쳐도 생각해보았고, 요즘에 떠오르는 스
  • 페이지 35페이지
  • 가격 8,000원
  • 등록일 2013.09.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
에 줄에 벽이 없을 때 led_red_ball[dot_pos+1] = led_red_ball[dot_pos];//공 아래로 led_red_ball[dot_pos] = 0x0000;//전 상태 공(적색 LED) 꺼짐 dot_pos++; //다음 줄 ball_delay = 0;//공 속도 제어변수 0 }else led_red_ball[dot_pos] = led_red_ball[dot_pos]; } } else if(di_x >= 600){//위로
  • 페이지 29페이지
  • 가격 25,000원
  • 등록일 2013.09.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
졸작 시작시에 너무 큰 목표를 잡았던 것이 화근이 되었던 것 같았다. 막연히 이런 방법을 쓰면 되겠지 하고 생각했던 것과 실제로 구현하려고 했을 때의 접한 막막함이란...시간이 지날 수록 경험도 생기고 노하우도 생겼지만 정작 중요한 것
  • 페이지 24페이지
  • 가격 3,000원
  • 등록일 2006.03.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 10건

ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302 3장. 2절. 6 KEY PAD 3장. 2절. 7 TSL250RD 3장. 2절. 8 MAX232CSE 3장. 2절. 9 ZigBee 3장. 3절 소프트웨어 설계 3장. 3절. 1 순서도 3장. 3절. 2 MCU(Atm
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
atmega128을 이용한 디지털시계구동 대회에서 최우수상을 수상한 작품입니다. 아래의 주소에 동작 동영상이 링크되어 있습니다. http://minihp.cyworld.com/54429847/329258077 구현기능으로는.... 1) 시간모드 - 현재 시간 출력 - 처음 시작
  • 페이지 16페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
ATmega128 [그림 4-2] ATmega128 4.1.3 JTAG Port [그림 4-3] JTAG Port 4.1.4 Power(+3.3v) [그림 4-4] Power(+3.3v) 4.1.5 RF(Zigbee) [그림 4-5] RF(Zigbee) 4.1.6 TFT-LCD [그림 4-6] TFT-LCD 4.2 동작부 4.2.1 전체 [그림 4-7] 동작부 전체 4.2.2 ATmega128 [그림 4-8] ATmega128 4.2.3 RF(Zigbee) [그림 4-9]
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
랩뷰와 마이컴(atmega128)을 이용하여 제작한 주차관리 시스템입니다. 랩뷰로 실시간 모니터링이 가능하며, 실시간 주차요금관리가 가능합니다. 마이컴에서는 랩뷰와의 통신을 통해서 주차제어기를 제어하고 차량감지를 하는 역할을 합니
  • 페이지 20페이지
  • 가격 12,000원
  • 발행일 2009.06.15
  • 파일종류 압축파일
  • 발행기관
  • 저자
ATmega128 processor 10 2.1.3. Servomotor 12 2.2. 구현내용 14 2.2.1. 전체 시스템의 구성 14 2.2.2. Host Program의 구현 15 2.2.3. AVR mega128 회로 구성 26 2.2.4. AVR ATmega 128 serial 통신 및 pulse 출력 programming 28 2.2.5. 기본 프레임 및 외형 31 3. 결 과 31 3.1. 구현방
  • 페이지 38페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 10건

래서 AVR이라는 툴을 이용하여 코딩한 프로그램을 ATmega128를 사용하여 모터를 작동시키는 초기의 계획을 수행하기 위해, 저를 포함한 3명의 팀원이 4월 한 달 동안 마이크로컴퓨터 교재를 이용하여 공부하였습니다. 그리고 모르는 것이 있으면
  • 가격 3,000원
  • 등록일 2020.03.16
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를 해석하는 과정이 흥미로웠습니다. 또한, 현장 업무의 편의성을 위해 Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry 보드를 이용한 SW개발환경 구축, 코드 생성 및
  • 가격 4,000원
  • 등록일 2023.09.19
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
중장기 전략중 하나인 ‘전장·시스템 제품 개발 능력 확보’는 엔지니어에겐 도전의 기회라고 생각합니다. 학교에서는 전공필수과목에서 배운 msp430fg4618 외에 msp430f5529, Atmega128을 다루어 보았습니다. 또한 지금도 영어 능력 함양을 위해 노력
  • 가격 1,300원
  • 등록일 2014.08.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, ADC, UART 제어방법 등 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통해
  • 가격 4,500원
  • 등록일 2023.07.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
Atmega128,2560을 활용하여 하드웨어 개발 경험과 사외교육으로 STM32-Entry Board를 이용한 SW개발환경 구축, 코드 생성 및 빌드 결과물에 대한 개념 이해를 하였으며 GPIO, ADC, UART 제어 방법 등 IDE 상에서 장비를 이용한 다운로드 및 디버깅 실습을 통
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top