• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 2,246건

◈인버터의 기능 →DC입력 전압을 원하는 크기와 주파수의 AC출력 전압으로 변환하는 것 ◈용도 →가변속 AC전동기 구동, 유도 가열, 예비, 무 정전 ◈기능 및 동작 원리 →단일직류 입력전원으로부터 3상 교류출력전압을 발생하여 3상 부하에
  • 페이지 10페이지
  • 가격 1,000원
  • 등록일 2012.03.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
부하저항값이 바뀜에 따라 시정수가 변하여 파형에 변화가 오는것이라 생각할 수 있다. 그리고 전체적인 DC전압도 감소했는데 이는 병렬로 부하를 달면, 저항이 400Ω에 가까워지기 때문에, 전압분배에 의해 상대적으로 5.6K일 때 보다 출력에
  • 페이지 7페이지
  • 가격 4,000원
  • 등록일 2015.06.01
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
출력전압 V(t)0 그래프 3) Excel로 그린 전류파형 L에서의 i(t) 그래프 4. 시뮬레이션 프로그램 회로도(A) 위의 회로도는 지금까지 해석한 회로도와 같은 결과 값을 주는 회로도이다. 위의 회로도는 PSpice 상에 반복 스위치가 없기에 임의로 Close와 Ope
  • 페이지 17페이지
  • 가격 2,500원
  • 등록일 2011.06.25
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
향 작동 후 영점위치가 틀어 졌다면 계기가 손상된 것이다. 조그만한 변화는 0점조정 나사로 다 시 조정하여 쓸수 있지만 정밀 계측용으로는 쓰지 않는것이 좋다. 6. 실험실에서의 전압과 전류측정에 있어서 디지털 계기에 비해 아날로그 계기
  • 페이지 3페이지
  • 가격 1,300원
  • 등록일 2014.06.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
파 정류회로, 커패시터 평활회로, 전압 레귤레이터 등으로 구성됨. 커패시터 평활회로: 전파 정류회로 출력의 리플 크기를 감소시킴. 커패시터의 시상수 을 크게 하면, 출력전압의 리플 크기를 작게 만들 수 있음. 1.4.3. 리미터 회로 리미터:
  • 페이지 4페이지
  • 가격 4,500원
  • 등록일 2022.09.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 6건

출력으로 발생하는 제어전압은 Delay cell의 PMOS의 게이트에 입력된다. 바이어스 전압에 따른 실제적인 PMOS의 부하저항 값이 변화가 되고, 따라서 Delay cell이 가지는 지연값이 변화되며 이러한 현상을 이용하여 제어 전압을 통해 전체의 발진 주
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전압 잠금) Undervoltage lockout는 3-상 브릿지 전원 단을 낮은 VDD 조건으로부터 보호하기 위해 사용된다. Undervoltage는 9.5V 이하의 VDD에 유발되고,UV FAULT 다리들에 싸게 출력되는 TTL에 의해 가리키게 된다. Undervoltage lockout은 또한 모든 출력되었던
  • 페이지 23페이지
  • 가격 4,000원
  • 발행일 2009.10.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전압() = 260[V] 정격전류() = 90[A] 정격속도() = 1850[rpm] 1850×=193.728[rad/s] 전기자 등가저항() = 0.35[Ω] 전기자 등가인덕턴스() = 6.5[mH] 토크상수() = 1.14[Nm/A] 전동기 관성(J) = 0.001[] 마찰계수(B) = 0 부하토크() = 0 ○ 계산 정격출력 정격토크 정격속도 [rad
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
전압레귤레이터, 스텝모터 컨트롤러 모듈) 컨트롤러 (스위치 8개, MCU, Zigbee 모듈, LCD모듈) 완성된 작품 (본체, 컨트롤러) 제 3 장 결 론 3.1 결론 이번 제작 프로젝트를 진행하면서 크게는 3가지 성과가 있었다고 생각한다. 첫째는 Technical 적인 부
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
출력 모터 ㆍ저렴한 가격 ㆍ서보모터를 사용한 로봇시스템의 기본적인 구조 3.1.4 거리측정 센서 [표 3-5] 거리측정 센서 사 진 내 용 ㆍ측정 범위 : 10 ~ 80 cm ㆍ아날로그 출력 방식 ㆍ사이즈 29.5 * 13 * 13.5 mm ㆍ소비전력 : 30 mA ㆍSupply 전압 : 4.5 ~ 5.
  • 페이지 23페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 8건

전압 신호 측정 및 분석. CDs셀의 도통 전압 신호 측정 및 분석. LED에 인가되는 전압 신호 측정 및 분석. 설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 3학년 교내 캡스톤 디자인 경진대회 / 권 보 규 교수님 초음파 센서
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
출력? EV/X 46. 배선용차단기 견디는거? 1.1배? 1배? 47. 역상제동 회생제동 발전제동 고르기 48. 온도계수 식(R이랑 알파주고) 49. 성층철심하는이유?? 와류손 감소 50. 코로나 틀린거? 임계전압을 높힌다가 틀림 ㅇ 핵심 키워드 54년이었습니다 사람
  • 가격 2,000원
  • 등록일 2022.03.24
  • 파일종류 한글(hwp)
  • 직종구분 공사, 공무원
전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를 해석하는 과정이 흥미로웠습니다. 또
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를 해석하는 과정이 흥미로웠습니다. 또
  • 가격 3,500원
  • 등록일 2021.07.21
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
전압을 승압시키고 교류로 변환하는 과정을 시뮬레이션을 통해 확인하였고 Sin파형과 carrier파형을 비교기에 입력으로 넣어 원하는 PWM 출력파형 결과를 얻으며 보이지 않는 전자의 흐름을 읽어 회로도를 해석하는 과정이 흥미로웠습니다. 또
  • 가격 4,500원
  • 등록일 2023.07.30
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
top