• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 753건

B1, B2, B3 3개의 Binary code input을 G1, G2, G3 3개의 Output이 나오도록 설계한다. 이때 3개의 값은 Gray code Output이다. POS, SOP를 이용하여 설계하여보고, Karnough map을 이용하여 최적의 Logic Network를 구현한다. 그리고 이 설계를 이용하여 VHDL로 Coding하고 결
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
1. 개요 3개의 입력과 2개의 출력을 가지는 full adder를 설계하고, 이를 확장시켜 4-bit full adder를 설계한다. 2. 이론 - Full adder? 컴퓨터 내에서 2진 숫자(bit)를 덧셈하기 위한 논리 회로. 3개의 입력과 2개의 출력을 생성한다. 덧셈해야 할 2개
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디자인한 STLC 프로그램이 최적화된 설계인지는 잘 모르겠지만 앞서 언급했던 cnt overflow 현상만 보정해주면 정확한 설계라고 보여진다. - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan &
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2015.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 회로설계(Digital Logic Design) - 박인규 저 <문운당> 2. 디지털 설계 이론과 실습 John F. WAKERLY 저 <에드텍> 3. 디지털 회로 설계 이동렬 저 <생각> 4. 최신 디지털 회로 설계 이태원교수, 임인칠교수 공역 <Prentice Hall> 
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.05.16
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Digital Logic with VHDL Design 3/e』, Mc Graw Hill 7. http://www.roboblock.co.kr/info/info8.htm 8. http://blog.naver.com/r2adne?Redirect=Log&logNo=120155040778 1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 8건

Logic of architecture』. MIT press.1990. 2. Juan Pablo Bonta, 서유석 역. 『建築의 表現體系(Architecture and its Interpretation)』. 기문당. 1999. 3. Laseau Paul, 이용재 역. 『디자인개념(Graphic thinking for architects and designer)』. 국제. 1988. 4. Edward T. White, 이용재 역. 『건
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2007.09.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Design, 태림문화사. Hiller, B., 1996, Space is The Machine, Cambridge University Press. Hiller, B., and Hanson, J., 1984, The Social logic of Space, Cambridge University Press. Jaskiewicz, F., 2000, “Pedestrian Level of Service Based on Trip Quality”, Transportation Research Circular, TRB. Jonathan,
  • 페이지 66페이지
  • 가격 5,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Digital Economy I. 서 론 제 1 절 문제의 제기 제 2 절 연구의 목적 및 구성 II. 전통산업의 IT화와 e-Transformation 제 1 절 새로운 경영 패러다임 제 2 절 전통산업의 IT화 제 3 절 전통기업의 e-Transformation 제 4 절 디지털 비즈니
  • 페이지 23페이지
  • 가격 3,800원
  • 발행일 2005.10.29
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디자인(web page design) 라. 기술수준(technical quality) 2. 인터넷 미디어 마케팅 전략 가. 바이럴(viral) 마케팅 나. 웨캐스팅(Webcasting) 활용 다. 디지털스토리텔링 라. 협업 블로거 마케팅 전개 마. 정책고객관리 기법(PCRM : Policy Customer Rela
  • 페이지 17페이지
  • 가격 2,500원
  • 발행일 2011.12.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
디지털 블록을 SYNOPSYS TM 의 VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 6건

디지털 사이니지의 취약점을 IDA pro, fiddler, 에뮬레이터, wireshark, FTK manager ,uart, logic analyzer 등으로 4개의 플랫폼에 대해 분석하고 STRIDE 위협 모델링을 하여 논문으로 작성해 한국OOOO학회에서 우수논문상을 수여받고 Digital Signage 관리솔루션 인
  • 가격 3,000원
  • 등록일 2023.03.07
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
common alternating current systems. The following figure shows a typical block diagram of a monopole system. Explain main function of the rectifier and the inverter and design a simple circuit of the rectifier and inverter. Also, describe a possible problem of using rectifier / inverter and the so
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타
Design 4개월 Digital Image Edit Photographing 3개월 Web Design Internet 방송 5개월 Computer Graphic CAD, CAM 6개월 인원 50명 80명 40명 100명 50명 60명 120명 6. 기타사항 돋움체 - 수강생은 서류 전형과 면접을 통하여 공정하게 심사하여 12월 15일 본사 1층 게시판에
  • 가격 800원
  • 등록일 2002.04.21
  • 파일종류 한글(hwp)
  • 직종구분 기타
디자인 학 력 전문대졸 경 력 1년이상 제 목 잘부탁드립니다. 1981년 추운 겨울 2남 1녀 중 막내로 태어났습니다. 엄하시지만 인자하시고 검소하신 부모님의 가르침으로 예의와 정직, 성실을 배우며 자랐습니다. 고등학교 입학하던 해 연극배우
  • 가격 4,000원
  • 등록일 2007.12.15
  • 파일종류 한글(hwp)
  • 직종구분 전문직
디자인한 후, 최적의 비즈니스 SW 솔루션으로 구현할 것입니다. 이와 관련해, AI 연구기관 시큐레이어 인턴 업무에서 실제 경영 빅 데이터 분석을 통한 솔루션을 도출하며 데이터 분석 및 시각화 역량을 쌓았습니다. 또한 Meta feature extraction 연
  • 가격 1,000원
  • 등록일 2023.03.16
  • 파일종류 워드(doc)
  • 직종구분 일반사무직

파워포인트배경 6건

가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(10페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
top