• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,183건

디자인한 STLC 프로그램이 최적화된 설계인지는 잘 모르겠지만 앞서 언급했던 cnt overflow 현상만 보정해주면 정확한 설계라고 보여진다. - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan &
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2015.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
68 실험 12. 쉬프트 레지스터(2) 68 1. 실험 목적 68 2. 기초 이론 68 3. 예비 보고서 70 4. 실험 기자재 및 부품 71 5. 실험 방법 및 순서 e 71 6. 실험 결과 73 실험 13. Term Project(1) 실험 14. Term Project(2) 실험 15. Term Project(3) 참고문헌 74
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
…………………………… 71  5. 실험 방법 및 순서 …………………………… 71  6. 실험 결과 …………………………… 73 실험 1 3. Term Project(1) 실험 1 4. Term Project(2) 실험 1 5. Term Project(3) 참고문헌 …………………………… 74
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1.Definition of VHDL 2.What & Why HDL? 3.HDL의 종류 4.VHDL’s History 5.Benefits of VHDL 6.Design Automation 7.디지털 논리회로의 설계환경 변천 8.Design Flow 개발환경의 이해 및 실습 - 강의순서 1.Design Entry 2.Project Compilation 3.Project Simulation 4.Device Programming
  • 페이지 56페이지
  • 가격 3,000원
  • 등록일 2006.09.25
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Digital Logic with VHDL Design 3/e』, Mc Graw Hill 7. http://www.roboblock.co.kr/info/info8.htm 8. http://blog.naver.com/r2adne?Redirect=Log&logNo=120155040778 1. VHDL의 뜻 2. VHDL이 만들어진 배경 3. VHDL의 장점 4. VHDL의 단점 5. 하드웨어 디자인과 프로그래밍 언어적 디자인 6
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 9건

디지털 블록을 SYNOPSYS TM 의 VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 및 상세설계 3장. 1절 시스템블럭도 3장. 2절 관련기술분석 및 하드웨어 설계 3장. 2절. 1 전체회로도 3장. 2절. 2 MCU(ATmega128) 3장. 2절. 3 입출력 포트 3장. 2절. 4 입출력 포트를 제외한 나머지 Pin 3장. 2절. 5 DS1302 3장. 2절. 6 KEY PA
  • 페이지 29페이지
  • 가격 30,000원
  • 발행일 2009.12.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로”, 인하대 대학원 (2004) 석사 논문 , 국회도서관 DLL 석사논문 자료실. <8> 류 영 수, 락킹 상태 표시기를 이용한 지연 고정 루프 기반의 클록 합성기 설계, 부경대 대학원 2006 석사 논문 , 국회도서관 DLL 석사논문 자료실. <9> 백 동
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Design of Control System to Drive EMDP(Electric Motor Driven Pump) using Brushless DC Motor’, 항공우주기술 제4권 제1호 [5] 하용봉, ‘Sensorless Brushless DC 모터제어(Control of Sensorless BLDC Motor)’, 한국특허정보원 1. 서론 2. DC 모터 2.1 DC 모터의 개요 2.1.1 DC 모터
  • 페이지 54페이지
  • 가격 9,000원
  • 발행일 2009.01.15
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
회로도는 www.lancos.com에서 쉽게 구할 수 있고 설명이 되어 있어 쉽게 했다. 7) 테스트시에 밧데리 소모가 많은데 비해 충전기가 없어서 충전 못함. 밧데리를 연결 한 결과 1분도 안되어서 다 방전 되었다. 충전을 해야 하는데 인터넷을 뒤졌으나
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 27건

설계 과제물 및 보고서 학년 / 학기 교과목 / 담당 교수 프로젝트 명칭 3학년 교내 캡스톤 디자인 경진대회 / 권 보 규 교수님 초음파 센서를 이용한 시작장애인 보행지원시스템(Porototype 1) 주요 내용 ◎ 목 적 : 현재 시각장애인 보행 보조 시스
  • 가격 3,500원
  • 등록일 2014.03.26
  • 파일종류 한글(hwp)
  • 직종구분 전문직
로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC 설계 프로젝트를 진행한 경험이 있습니다. 
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
RTL 설계 역량을 길렀습니다. 또한 SoC설계 과목을 수강하며 쌓은 SoC Bus 프로토콜에 대한 이해를 바탕으로 C 및 Assembly 언어를 이용한 자율주행용 SoC 설계 프로젝트를 진행한 경험이 있습니다. 1. 직무 관련 경험 기술 2. 지원동기 및 포부
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
설계하고 조립하여 완성된 로봇이 제대로 동작했을 때의 성취감, 대학교 3학년 논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
프로젝트 등) (1000자 이내) [3일 밤샘의 결과] [과정은 여러 가지, 목표는 하나] 4. 회사에 제시하고 싶은 자신의 모습(자유기술) (1000자 이내) [여성의 섬세함과 남성의 도전력] 5. 본인의 능력개발을 위한 과거와 현재의 노력 및 미래
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직

파워포인트배경 2건

가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
가격 : 20,020원 (-4,620원)
할인가 : 15,400원(11페이지)
top