• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 8건

designing structure  B. Consideration of design  C. 개별 주요 블록 상세도   ① DFF   ② MUX   ③ XOR  D. Transistor level net list   ①   ②  E. H-spice simulation wave result of netlist 5. Layout  A. The number of trans
  • 페이지 38페이지
  • 가격 5,000원
  • 등록일 2012.11.04
  • 파일종류 아크로벳(pdf)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
Shift-and-add 방식의 절반으로 줄어들게 된다. 따라서 더욱 빠른 계산을 시행할 수 있다. 7. 참고문헌 2009 서강대학교 전자공학과 디지털 회로 설계 황선영 교수님 강의 자료 McGraw-Hill, Fundamentals of Digital logice with VHDL design, Brown&Vranesic, 2/e 아진, 실
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
강의 순서 Definition of VHDL What & Why HDL? HDL의 종류 VHDL’s History Benefits of VHDL Design Automation 디지털 논리회로의 설계환경 변천 Design Flow 2.개발환경의 이해 및 실습 - 강의순서 Design Entry Project Compilation Project Simulation Device Programming
  • 페이지 54페이지
  • 가격 3,000원
  • 등록일 2006.11.27
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
1.Definition of VHDL 2.What & Why HDL? 3.HDL의 종류 4.VHDL’s History 5.Benefits of VHDL 6.Design Automation 7.디지털 논리회로의 설계환경 변천 8.Design Flow 개발환경의 이해 및 실습 - 강의순서 1.Design Entry 2.Project Compilation 3.Project Simulation 4.Device Programming
  • 페이지 56페이지
  • 가격 3,000원
  • 등록일 2006.09.25
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
circuit의 description 방법을 익히고 동작 확인 과정을 통해 simulation tool의 사용법을 익힌다. 3. 목표 및 기준 설정 (1) 목표 및 기준설정 shift and add 횟수 감소를 통해 고속 연산을 가능하게 하는 Booth’s multiplier를 설계한다. 이때 16-bit word의 입
  • 페이지 8페이지
  • 가격 13,860원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top