• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 6,351건

표시방법과 동일하다. -음수: (1의 보수) +1로 표현한다. <부호화된 2진 숫자> 5.Simulation <실험 1> <실험 2> <실험 3> <실험 4> AND Gate : 7408, OR Gate : 7432, XOR Gate : 7486 1. Title 2. Name 3. Abstract 4. Background 5. Simulation
  • 페이지 10페이지
  • 가격 1,300원
  • 등록일 2009.06.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
리플 전류(Ripple Current) 19 ㅇ막 전극 접합체(Membrane Electrode Assembly, MEA)19 ㅇ말기 성능(End Of Life Performance, EOL)21 ㅇ매니폴드(Manifold)21 - 외부 매니폴드(External Manifold)21 - 내부 매니폴드(Internal Manifold)21 ㅇ모노폴라 스택(Monopolar Stack)22 ㅇ무효
  • 페이지 74페이지
  • 가격 3,000원
  • 등록일 2014.10.17
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Ripple-Blanking Output - to : Output b. 74LS04 - Hex Inverters : 입력을 반전시킨 출력 - Guaranteed Operating Ganges c. 74LS08 - Quad 2-input AND gate - Guaranteed Operating Ganges d. 7-segment 기계어를 십진수로 디코딩을 하는 시스템의 블록도가 있다. 십진수를 표시하는 가장 일
  • 페이지 17페이지
  • 가격 2,300원
  • 등록일 2013.08.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
breaks down human body’s recovering system B.Marijuana interferes with human’s reasoning ability V. Marijuana should not be decriminalized because there is no therapeutic value found, the legalization does not help for solving the current drug problems, and marijuana is bad for human’s he
  • 페이지 7페이지
  • 가격 10,000원
  • 등록일 2010.08.16
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
신호에서 괄호 안의 값을 구하기 위해 Matlab 내부 함수 hilbert, angle, unwrap를 사용하였고, 미분기를 통과하는 과정은 diff 함수를 써서 구현했다. noise와 ripple이 생김을 확인 할 수 있는데, 표본화 간격을 조절함으로써 이를 줄일 수 있다. 
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2007.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Matrix Organization 3.Business Environment Analysis 3-1. Corporate Strategy 3-2. Market Status 3-3. SWOT Analysis 4.Detailed Business Promotion Plan 4-1.Homeopathic Industry Comparative Analysis 4-2. Marketing Strategy Analysis 4-3. Ripple effect And Value 5.Reference Literature & Referen
  • 페이지 22페이지
  • 가격 3,000원
  • 등록일 2010.12.07
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
리플이 거의 평평하게 나타났다. 그래서 그래프를 보면 매우 작은 패스밴드 ripple을 확인할 수 있다. 유연성이 부족하다는 것이 나타난다. window 기능의 스펙트럼의 콘벌루션의 결과로 인하여 정확하게 passband, stopband frequency 값이 조절되기가
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2007.12.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
리플특성, 단수를 알고 있으면 계산을 통하여 필터의 소자값을 구해 낼 수 있었다. - L-C 필터의 특성이 필터 제작시 납땜을 제대로 하지 못했거나, L-C값의 불완전성 때문에 변할 수 있다는 것을 알았다. LPF 여파기 설계 및 제작 .
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.06.21
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
and Clear)) 3. SN74LS47N(BCD to 7-Segment Decoder/Drive) 4. FND507(0.5inch 7-Segment Numeric LED Displays) 5. NE555(Timer) 1. 명제 2. 설계 목적 3. 설계 순서 4. 사용 기기 및 부품 5. Block Diagram 6. 각 부분의 회로 구성 7. 소자값 계산 8. 회로 연결 9. 컴퓨터시뮬레이
  • 페이지 15페이지
  • 가격 2,300원
  • 등록일 2012.04.01
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
넌리니어 편집의 특성 (1) 비선형 편집시스템의 구성 및 운영형태 (2) 비선형 편집시스템의 기술개발 과정 (3) 비선형 편집의 장단점 4. 넌리니어 편집방법 (1) 비선형 편집(Nonlinear editing) 방법의 기초 (2) 최근 비선형 영상 편집의 흐름
  • 페이지 23페이지
  • 가격 3,000원
  • 등록일 2007.05.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top