• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 505건

-time physical activity and the risk of primary cardiac arrest. Arch Intern Med. 1999 Apr 12;159(7):686-90. 20. Pecanha T, Bartels R, Brito LC, Paula-Ribeiro M, Oliveira RS, Goldberger JJ. Methods of assessment of the post-exercise cardiac autonomic recovery A methodological review. Int J Cardiol. 2
  • 페이지 9페이지
  • 가격 3,000원
  • 발행일 2022.09.07
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
10년 공항 건설 착수, 2017년 완공이라는 대선 공약이 아니더라도 꼭 추진돼야만 할 사업이다. 지난해 제주국제공항은 전국 14개 공항 중 유일하게 여객터미널 수용능력을 초과한 곳이다. 제주공항 여객터미널 연간 처리능력은 1127만명이나 지
  • 페이지 47페이지
  • 가격 5,000원
  • 발행일 2011.07.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
12. 김율. “성 알베르투스 마그누스의 「신명론주해」에 나타난 빛과 아름다움의 개념.” 『서양고전학연구』 56(2). 2017, 9. 김종진. “렘브란트, 베르메르, 호퍼의 회화에 나타난 빛과 공간의 비교 분석에 관한 연구.” 『한국실내디자인학
  • 페이지 15페이지
  • 가격 4,000원
  • 발행일 2023.02.01
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1) 작품 개요 ---------------------- p.2 (2) 구현 목표 ---------------------- p.2 Ⅱ. 기초 자료 (1) 계획 및 일정 -------------------- p.3 (2) 블록다이어그램 -------------------- p.3 (3) 부품 상세 -------------------- p.4 Ⅲ. 작품
  • 페이지 40페이지
  • 가격 5,000원
  • 발행일 2009.11.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1) 1. 병변의 위치결정 1A. Air bronchogram 1B. Silhouette sign 1C. Hilum overlay or convergence sign 1D. Extrapleural sign II. 비정상적인 흉부영상소견의 유형분석(2) 2. 음영증가성 병변 2A. Air-space consolidation 2B. Interstitial lung disease 2C. Atelectasis 2D. Pulmonary nodule
  • 페이지 257페이지
  • 가격 13,000원
  • 발행일 2011.11.28
  • 파일종류 기타
  • 발행기관
  • 저자
a'라는 캐릭터의 //값을 넘긴다. ir_out(0xc2); //ir_out의 함수를 호출하면서 0x82라는 인자값을 넘긴다. string_out("LCD Test"); //string_out이라는 함수를 호출하면서 "LCD //Test"라는 값을 넘긴다. } Ⅴ. 결과도찰 1)정전압 IC에서 열 발생이 많음. - 모터를 연결
  • 페이지 50페이지
  • 가격 20,000원
  • 발행일 2010.02.26
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
1. 단기와 장기의 개념 2. 단기균형 3. 장기균형 [3] 주택시장분석 1. 기초개념 2. 주택수요와 주택소요 3. 주택시장분석-주택시장분석의 2가지 측면 [4] 주택시장의 여과과정 1. 주택의 여과현상 2. 주택의 하향여과 과정
  • 페이지 25페이지
  • 가격 3,000원
  • 발행일 2010.07.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
-2와 같은 종간의 crosstalk가 가능한 경우 : AI-2는 S-adenosylmethionine synthetase(Met K), methyltransferase, S-adenosylhomocysteine/5-methylthioribose nucleosidase(Pfs)와 Lux S에 의해 촉매 되는 5단계의 과정(fig.1a)을 통해 methionine과 ATP로부터 생성(substrate로 사용 ● QS C
  • 페이지 28페이지
  • 가격 5,000원
  • 발행일 2010.04.19
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
A comparative study of teacher talk in English class between English L1 and Chinese L1 Teacher. The Linguistic Association of Korea Journal, 28(3), Great achievements on classroom discourse research has been made in China and empirical research using the method of discourse analysis on teacher talk
  • 페이지 18페이지
  • 가격 28,000원
  • 발행일 2023.02.22
  • 파일종류 워드(doc)
  • 발행기관
  • 저자
1인 미디어 중, 가장 인상 깊었던 콘텐츠나 영상은 무엇인가요?(2~3가지씩) 좋았던 콘텐츠와 영상(자신에게 도움이 된 영상): 이유: 나빴던 콘텐츠와 영상(자신에게 악영향을 끼쳤던 영상): 이유: EX) 좋았던 콘텐츠와 영상: 연고티비- 내신관리
  • 페이지 21페이지
  • 가격 2,000원
  • 발행일 2024.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top