• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,257건

논리회로이다. 따라서 현재의 디지털 컴퓨터는 스위치를 켜거나(1) 끄는(0) 상태로서 전기가 흐르거나 흐르지 않는 형태로 2진법의 1 비트(Bit)를 구현한다. 하지만 물리학의 양자역학 원리를 이용한 양자컴퓨터는 기존과는 전혀 다른 원리로서
  • 페이지 9페이지
  • 가격 1,800원
  • 등록일 2013.03.09
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리회로 관련도서에서 알게 된 사실은 우리가 0V를 0, LOW라 하고 5V를 1, HIGH라 부르는 것은 정논리 방법 이라는 것을 알았다. “신호는 서로 구별되어야 하므로 높은 수준을 H로 낮은 수준은 L로 표시하면 두 종류의 신호치 할당 방법이 존재함
  • 페이지 5페이지
  • 가격 800원
  • 등록일 2010.02.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로가 모여서 더 큰 용량의 정보를 저장하는 메모리의 역할을 수행하게 된다. 논리 게이트를 사용하여 입력된 신호의 판단만이 가능한 것으로 알고 있었는데, 간단한 피드백 회로를 적용하는 것만으로 논리 게이트를 기억소자로 사용할 수
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2008.12.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
스위치로 동작하는 트랜지스터들을 이용하여 실현할 수 있다.               ≪ 그 림 ≫ <그림 16.3 (a)전압-=제어 스위치를 이용한 논리 반전기의 가장 간다한 실현  (b)vI가 저레벨일떄의 등가회로 (c) vI가 고레벨일
  • 페이지 8페이지
  • 가격 1,300원
  • 등록일 2012.11.04
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
카운터. 그레이 코드 카운터의 회로도. 그레이 코드 카운터의 파형. 그레이 코드 카운터의 상태도. 그레이 코드 카운터의 상태표. 현재상태 차기상태 x=0 x=1 A B A B A B 0 0 1 0 0 0 0 1 1 1 1 1 1 0 0 1 0 1 1 1 0 0 1 0 그레이 코드 카운터의 상태 여기표. 현
  • 페이지 13페이지
  • 가격 9,660원
  • 등록일 2014.05.28
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리 회로에서의 논리 게이트들의 동작과 Boolean equation, De morgan의 법칙을 바탕으로 한 논리 게이트의 특성을 이해하는 시간이었다. 실험은 전반적으로 예비보고서를 쓸 때 분석했던 것과 같이 Truth table이 일치했고 시뮬레이션 결과도 일치하
  • 페이지 7페이지
  • 가격 1,800원
  • 등록일 2013.07.08
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Data 4. 문자 Data 5. 기타 Data 논리회로 1. Boole과 Boole 대수 2. 논리 회로와 Boole 연산식 3. 반가산기(Half Adder) 데이터 구조 1. 배열(Array) 2. 포인터와 연결 리스트 3. 스택(Stack) 4. 큐(Queue) 5. 데크(Deque) 6. 트리(Tree) 7. 그래프(Graph)
  • 페이지 37페이지
  • 가격 400원
  • 등록일 2009.02.06
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시스템 / 송상훈 외7명 / 인터비젼 / 2005. 3. 28 / p.109 ~ p.111 ④ http://kin.naver.com/db/detail.php?d1id=11&dir_id=110215&eid=ueOG5dYR+Wnamc9xrJfciRPlbCP//J/o&qb=us6/77TrvPa29T8= 1. 목적 2. 이론 3. 사용기기 및 부품정보 4. 실험과정 및 결과예측 5. 참고자료
  • 페이지 5페이지
  • 가격 2,000원
  • 등록일 2007.01.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
nt seg -- 사용 할 소회로 선언 port ( clk_4M : in std_logic; rstb : in std_logic; seg : out std_logic_vector (6 downto 0); digit : buffer std_logic_vector (5 downto 0) ); end component; signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화 signal CLK_4M : std_logic:=\'0\'; signal DIGIT
  • 페이지 5페이지
  • 가격 1,500원
  • 등록일 2019.06.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
b=4\'b0000; end endmodule #HW3 Complete the 4to1 mux and simulate it using testbench. Report must include your own explanation. → Input : 4bit [3:0]D, 2bit [1:0]S Output: 1bit Y module MUX4to1( input [3:0]d, input [1:0]s, output y ); assign y = d[0]&(~s[1]&~s[0])| d[1]&(~s[1]& s[0])| d[2]&( s[1]&~
  • 페이지 6페이지
  • 가격 6,300원
  • 등록일 2016.03.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top