|
논리회로로 구성하여 보고 이에 대한 시뮬레이션 결과를 구하여라.
A)
X
Y
X+Y
B)
X
Y
0
0
0
1
0
0
1
1
1
0
1
1
0
0
1
1
0
0
1
0
1
0
1
0
0
1
0
1
1
1
0
1
1
0
0
0
드모르강법칙은 모든 가능한 2진값을 X와 Y에 할당하는 진리표(실험1, 2)를 사용하여 설명할 수 있다. A에
|
- 페이지 7페이지
- 가격 1,000원
- 등록일 2004.10.05
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
강의 순서
Definition of VHDL
What & Why HDL?
HDL의 종류
VHDL’s History
Benefits of VHDL
Design Automation
디지털 논리회로의 설계환경 변천
Design Flow
2.개발환경의 이해 및 실습 - 강의순서
Design Entry
Project Compilation
Project Simulation
Device Programming
|
- 페이지 54페이지
- 가격 3,000원
- 등록일 2006.11.27
- 파일종류 피피티(ppt)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
논리 회로로 구성되어 있기 때문이다. 이에 비해 자연어는 애매하고 그때그때에 따라서 여러 가지로 생략하거나 바꿔 말할 수 있으며, 사회적인 지시 등도 필요하기 때문에, 컴퓨터에게 이해시키는 것은 대단히 어렵다. 컴퓨터를 사용하여 이
|
- 페이지 15페이지
- 가격 3,500원
- 등록일 2009.09.21
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
링을 위해 세 가지 입력신호 조합을 만들어 주었다
end tb_comp_4bit_arc;
2-3) Results
4비트 입력을 처리해야 한다는 것이 이번 실험의 가장 큰 특징이었다. std_logic_vector구문으로 여러비트 신호를 선언 할 수 있는데 0 to 3이나 3 downto 0의 두가지 방법으
|
- 페이지 5페이지
- 가격 1,000원
- 등록일 2017.06.23
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
downto 0);
end if;
end if;
end process;
end Behavioral;
(2)Booth 곱셈기
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_signed.ALL;
entity booth_multiplier is
--승수, 피승수 및 출력의 길이 저장
generic (m_plicand_width : integer :=8;
m_plier_width : integer :=8;
output_width : integer
|
- 페이지 19페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
스위치를 눌러 GAS, Dis, Para를 선택한다.
SW0은 GAS, SW1은 Dis, SW2는 Para선택이다.
연료가 선택되면 연료량 입력 상태로 이동한다.
연료량 입력 상태이다.
초기상태에서 SW1을 눌러 Dis가 선택되었다.
현재 연료량을 입력하지 않은 상태이므로 우측 하
|
- 페이지 27페이지
- 가격 2,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
gic;
G, P, Sum : out std_logic);
end component;
component Lookahead_carry_generator
port(G, P : in std_logic_vector (3 downto 0);
Ci : in std_logic;
m : in std_logic;
C : out std_logic_vector (4 downto 1);
PG, GG : out std_logic);
end component;
begin
B_sig(0) <= B(0) Xor m;
B_sig(1) <= B(1) X
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2014.02.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
'0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111101";
when x"7" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "0000111";
when x"8" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111111";
when x"9" => SEG2_COM3 <= '0'; SEG2_COM2 <
|
- 페이지 11페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,300원
- 등록일 2014.03.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|