• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 3,426건

게이트 2) 블록 ◆ sys_var 1.소스 library ieee; use ieee.std_logic_1164.all; entity sys_var is port (a, b, c : in bit; y_out : out bit); end sys_var; architecture sample of sys_var is begin process (a, b, c) variable temp : bit; begin temp :='1'; temp :=a and temp; temp :=b and temp; temp :=c and temp
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2014.01.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
것. (가급적 2차원 평면으로 결선을 할 것.) 5.참고문헌 디지털공학실험(이병기 저) 사이텍미디어 (p21~31) VHDL을 이용한 디지털 논리회로 설계(William Kleitz 저) 아이티씨 (p50~54,p64~83) 1.목적 2.이론 3.실험기구 4.실험절차 5.참고문헌
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2010.05.26
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하고 어떠한 구조를 가지고 어떤 동작을 수행하는지를 시뮬레이션을 통해 알아 보았다. 이것을 통해서 MPU의 구조와 더불어 VHDL의 설계 방식에 대한 구조를 알수있었다. 일반 논리 게이트나 표준 TTL IC의 그래픽 심벌을 조합하여 설계하는
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
게이트나 논리회로의 조합으로 구성되며 대표적인 기능을 갖는 것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 1. 개 요 2.
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
게이트나 논리회로의 조합으로 구성되며 대표적인 기능을 갖는 것은 집적회로화되어 있다. PCM통신에서는 아날로그디지털 변환기를 코더라 한다. 4. 참고 자료 -VHDL을 활용한 디지털 회로 설계 (한울출판사) -네이버 백과사전 
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.07.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 12건

탕으로 두 입력 신호를 논리‘0’으로 리셋 시키는 구조를 사용함으로써 Up과 Down신호가 충분한 시간동안 논리‘1’의 값을 유지할 수 있도록 설계하였고 이에 따라 위상주파수 검출기가 NAND 게이트의 문턱전압에 상관없이 동작하게 함으로써
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 제약을 줄일 수 있다. 참고문헌 1. Bonnie C. Baker, ‘센서리스 BLDC 모터 컨트롤의 장점’, 마이크로칩 테크놀로지 2. 김정욱 외 4명, ‘The BLDC Motor Control for Platform Screen Door' 3. 하용봉, ‘Sensorless Brushless DC 모터제어(Control of Sensorless BLDC Motor)',
  • 페이지 23페이지
  • 가격 4,000원
  • 발행일 2009.10.28
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리적 개념과 유형 및 활용(지방행정), 8월호(2004) 임승빈, 지방자치론(파주:법문사,2006), 조성익, 민주적 제도의 도입이 어떻게 민주주의의 후퇴를 가져오는가?(경제와 사회), 통권 제 74호(2007) 주성수, 주민투표는 환경갈등 해결의 대안인가(
  • 페이지 23페이지
  • 가격 3,500원
  • 발행일 2008.01.02
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 190건

설계 34 아날로그 전자회로 34 통신 시스템 35 제어 시스템 35 전력 전자공학 35 신재생 에너지 시스템 36 신호 처리 및 디지털 신호 처리 36 네트워크 및 통신 37 디지털 시스템 설계 및 최적화 37 ? 반도체산업 학위과정 입시지원자 학
  • 가격 9,900원
  • 등록일 2024.09.14
  • 파일종류 아크로벳(pdf)
  • 직종구분 기타
논리 게이트 설계를 연구합니다. 3) 기대 효과 본 연구를 통해 위상 절연체 및 위상 초전도체의 전자적 특성을 명확히 규명하고, 이를 기반으로 차세대 양자 소자의 개발 가능성을 제시할 수 있습니다. 특히, 마요라나 페르미온과 같은 준입자
  • 가격 4,500원
  • 등록일 2025.04.01
  • 파일종류 한글(hwp)
  • 직종구분 기타
논리대결'에 참여하여 2연승을 차지하기도 하였습니다. 이런 저의 열정과 에너지를 대우조선해양에서 발산하고 앞서 말씀드린 저의 비전을 함께 성취하고 싶습니다. ㅇ사회생활과 연수경험 - 건설에 에세이 쓸때 참고 (한화건설) 아르바이트
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
논리게이트에서 오류가 생겨 더 이상 다음 작업으로 진행되지 못했는데, 무엇이 문제인지 알기 위해 AND, OR, NAND, NOR 게이트에서 원인을 분석하였습니다. 1주일 동안은 문제를 찾지 못해 연습에 어려움이 있었지만 엔플북스 전자캐드 책을 통해
  • 가격 2,500원
  • 등록일 2025.04.06
  • 파일종류 한글(hwp)
  • 직종구분 산업, 과학, 기술직
논리게이트에서 오류가 생겨 더 이상 다음 작업으로 진행되지 못했는데, 무엇이 문제인지 알기 위해 AND, OR, NAND, NOR 게이트에서 원인을 분석하였습니다. 1주일 동안은 문제를 찾지 못해 연습에 어려움이 있었지만 엔플북스 전자캐드 책을 통해
  • 가격 2,500원
  • 등록일 2025.04.09
  • 파일종류 한글(hwp)
  • 직종구분 전문직
top