|
VHDL code
1. transport delay
library ieee; use ieee.std_logic_1164.all;
entity bool_func is
port ( x : in std_logic;
y : out std_logic );
end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인
2. purpose:
3. Theory
4. Data & Result
(1) VH
|
- 페이지 6페이지
- 가격 4,200원
- 등록일 2012.12.17
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
inertial, transport delay에 의한 신호의 변화(Device:MAX2)
Device : Stratix3
Device : MAX2
7. 참고서적
- 이대영 저, 하드웨어 설계를 위한 VHDL 기초와 응용, 홍릉과학, 초판, 1995, pp.36-48, 64-66, 100
- 박세현 저, 디지털 시스템 설계를 위한 VHDL 기본과 활용, 그린,
|
- 페이지 6페이지
- 가격 3,300원
- 등록일 2013.07.01
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로이며, 위에서 구성한 회로는 상당히 비효율적일 수 있다는 점을 인정한다.
하지만 논리 Gate라는 생소한 부문에 대해 고민해보고, 직접 회로를 구성하여 그 기능과 작동원리를 이해하여, 실제 문제에 있어서의 적용 가능성을 확인해보는
|
- 페이지 6페이지
- 가격 1,100원
- 등록일 2008.02.11
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
연결해 두어야 한다.
※참고문헌
1. TTL응용실무 // Don Lancaster // 한국과학원
2. 디지털시스템 // Tokheim // 싸이텍미디어 ◎TTL의 기본개념
◎TTL의 종류와 특성
◎propagation delay(전파지연)
◎fan-out(출력분기수)
◎입력핀수
◎사용 시 유의점
|
- 페이지 4페이지
- 가격 1,000원
- 등록일 2007.01.11
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
Ⅱ. 관련 연구
Ⅲ. CBR HDTV TS 패킷 전송을 위한 새로운 메카니즘의 제안
Ⅳ. 전송단 및 수신단의 하드웨어 구현
Ⅴ. VHDL을 이용한 회로 설계 및 검증
Ⅵ. FPGA를 통한 기능 검증
Ⅶ. 결론
|
- 페이지 12페이지
- 가격 2,300원
- 등록일 2002.11.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|