|
회로를 구성하라.
(2) 입력 S와 R의 조합을 통해 진리표를 완성한다. 표의 상태 행에서 set, reset, last Q, ambiguous 등으로 구분하여 기입한다.
(3) SR latch의 동작을 시간도표로 나타내고, 특히, S=R=1에서 S=R=0상태로 부꿀 때 출력이 어떻게 결정되는지
|
- 페이지 12페이지
- 가격 2,300원
- 등록일 2014.09.11
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
연산을 위한 논리 게이트가 포함되어야 한다. 실험의 첫 단계로, 각 스위치를 통해 4비트 이진수를 입력한다. 이진수 입력은 덧셈, 뺄셈, AND, OR와 같은 기본 연산을 수행하기 위해 필요하다. 입력된 값은 회로를 통해 처리되어 결과가 출력 LED
|
- 페이지 4페이지
- 가격 3,000원
- 등록일 2025.04.30
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리회로 설계실험 과목을 수강하면서 생소했던 vhdl coding을 배우고, 매주 이론과 실습을 반복하면서, vhdl이라는 언어에 친숙해 질 수 있었다.
한 학기 수업의 결과물이라고 할 수 있는 기말 팀 프로젝트에서 우리 조는 본 레포트와 같이 우리
|
- 페이지 19페이지
- 가격 4,000원
- 등록일 2010.01.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털 회로에서 많이 이용된다. 하지만 FET은 BJT보다 증폭률이 많이 떨어진다는 단점이 있다. 출력전압을 비교해보면 BJT는 출력전압이 입력전압의 지수함수에 비례하는데 FET의 경우 입력전압의 제곱에 비례하므로 증폭면에서 BJT가 더유리하
|
- 페이지 14페이지
- 가격 1,800원
- 등록일 2022.04.01
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로에 연결되어 있는 상태에서는 다이얼 스위치를 돌리지 말아야 한다.
② Ohm's law
옴의 법칙(Ohm's law)은 도체의 두 지점사이에 나타나는 전위차(전압)에 의해 흐르는 전류가 일정한 법칙에 따르는 것을 말한다. 두 지점 사이의 도체에 일정
|
- 페이지 8페이지
- 가격 1,800원
- 등록일 2022.04.01
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로
키르히호프의 정리에 의해 전원장치의 기전력 V, 저항에서의 전압강하 VR , 축전기에서의 전압강하 VC 사이에는 다음과 같은 관계가 성립한다.
이 때, ti, Qi는 각각 처음 시각과 그때에 축전기에 들어있던 전하량이다. 처음 시각을 t
|
- 페이지 7페이지
- 가격 1,800원
- 등록일 2022.04.01
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
디지털 멀티미터(Digital Multimeter)
1.디지털 멀티미터(Digitl Multimeter)의 사전적 정의
측정한 값을 숫자로 나타내는 미터기로서 전기 회로의 가장 기본적인 전압, 전류, 저항을 측정하는 전자 기기
2.디지털 멀티미터 장비 설명
1)FUNCTION 스위치
측정
|
- 페이지 21페이지
- 가격 1,800원
- 등록일 2022.04.01
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리회로
부울대수
영국의 조지 부울이 제창
0과 1의 2진수 표현으로 명제의 참, 거짓 전기 신호의 유와 무, 스위치의 ON과 OFF 등을 표현함
논리합, 논리곱, 논리부정 등 3가지 연산 기호를 사용하여 논리식 표현에 사용됨
논리회로(Logic C
|
- 페이지 18페이지
- 가격 0원
- 등록일 2010.04.27
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
nt seg -- 사용 할 소회로 선언
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGIT
|
- 페이지 5페이지
- 가격 1,500원
- 등록일 2019.06.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
0
0
0
1
0
1
논리 함수 : A B
K-map을 참고 해서 논리 회로 제작
반가산기 논리 회로
2. 전가산기
진리표 작성
X
Y
Z
C
S
0
0
0
0
0
0
0
1
0
1
0
1
0
0
1
0
1
1
1
0
1
0
0
0
1
1
0
1
1
0
1
1
0
1
0
1
1
1
1
1
k-map 작성
가) 합 (S)
X YZ
00
01
11
10
0
0
1
0
1
1
1
0
1
0
논리 함수 :X Z' Y' + X'
|
- 페이지 9페이지
- 가격 1,800원
- 등록일 2012.04.30
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|