|
'0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111101";
when x"7" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "0000111";
when x"8" => SEG2_COM3 <= '0'; SEG2_COM2 <='1'; output (6 downto 0) <= "1111111";
when x"9" => SEG2_COM3 <= '0'; SEG2_COM2 <
|
- 페이지 11페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,000원
- 등록일 2014.02.25
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
f door_lock_mod_tb is
component door_lock
port ( clk : in std_logic;
rst : in std_logic;
ps_start : in std_logic;
ps_end : in std_logic;
ps_mod : in std_logic;
ps_num : in std_logic_vector (3 downto 0);
door_open : out std_logic;
alarm : out std_logic );
end component;
signal clk : std_logic;
signa
|
- 페이지 13페이지
- 가격 2,300원
- 등록일 2014.03.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
izer;
architecture behave of string_recognizer is
-- Xilinx에서 입력을 클럭 신호와 같은 방식으로 사용하기 위해 IBUF component 사용
component IBUF
port(O : out std_ulogic;
I : in std_ulogic);
end component;
--내부 신호 및 상태 스트링 선언
type st_str is (s0, s1, s2, s3, s4, s5);
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
1. 개요
2. 디자인
3. 결론
4. 느낀점
|
- 페이지 10페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
6. 논의 사항
1) Booth 알고리듬과 일반 shift and add 알고리듬의 차이점을 논하시오.
일반 shift and add algorithm은 곱셈을 수행하는데 있어서 시프트-더하기 곱셈 알고리즘이 항상 제대로 동작하지 않는다. 특히 하나 또는 두 개의 오퍼랜드가 음수
|
- 페이지 9페이지
- 가격 2,300원
- 등록일 2014.05.20
- 파일종류 워드(doc)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
플립-플롭(Flip-Flop) vs. 래치(Latch)
플립플롭과 래치는 두개의 안정된 상태 중 하나를 가지는 1비트 기억소자
플립-플롭
클럭신호가 Rising 할 때만 출력값이 변함.
Edge-triggered 방식으로 동작
래치
Enable 제어신호가 ‘1’인 동안에 SR입력이 변
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2014.01.15
- 파일종류 피피티(ppt)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
_read <= ~DUT_sel_read;
end
end
sram_mosaic Sram_write(
.addr(addr_write),
.clk(clk),
.din(D_out),
.dout(),
.we(we_write)
);
sram_mosaic Sram_read(
.addr(addr_read),
.clk(clk),
.din(),
.dout(D_in),
.we(we_read)
);
endmodule
Test Bench
module TB_SramReadTest();
parameter
addr_width = 18, /
|
- 페이지 28페이지
- 가격 3,300원
- 등록일 2013.05.16
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
회로가 필요
* SRAM
- 재충전이 필요 없음
- ROM(Read Only Memory)(08년 1회 정보처리산업기사 출제)
* Mask-ROM
* PROM
* EPROM : 자외선을 이용하여 기억된 내용을 지우는 소자
* EEPROM
- 자기 코어(Core Memory)
(2) 보조 기억 장치
* 종류 : 자기 드럼,
|
- 페이지 11페이지
- 가격 3,000원
- 등록일 2012.03.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
K는 SR 플리플롭의 S와 R에 해당
J = K = 1일 때 Q'(t)를 출력
4) D 플리플롭
한 개의 입력을 가짐
D = 0이면 출력은 0, D = 1이면 출력은 1로 변화
단일 비트 저장용으로 유용함
5) T플리플롭
JK 플리플롭의 JK를 하나로 묶어서 T로 표시
T=0 이면 변화
|
- 페이지 2페이지
- 가격 1,000원
- 등록일 2012.03.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|