|
adder)
- 두 개의 입력과 전 단계에서 발생한 자리올림수를 더하도록 구성
- 두 개의 반 가산기와 한 개의 논리합 회로를 이용하여 구성
- 자리올림수를 더해 줄 수 없는 반 가산기의 단점을 보완 1. GATES(AND, OR, NOT, XOR)
2. DECODER
3.MUX(Multiple
ADDER AND, OR, NOT, XOR, VHDL MUX(Multiplexer), [VHDL] GATES(AND, OR, NOT, XOR), DECODER, MUX(Multiplexer), ADDER,
|
- 페이지 3페이지
- 가격 800원
- 등록일 2009.05.04
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
NOT 게이트와 NAND 게이트를 이용하여 4*1 MUX를 설계하고 진리표를 작성한다.
4. 참고자료
1. 회로이론, 한경희외 공저, 형설출판사, 1991.2
2. 전기전자기초실험, 신정록외 공저, 한올출판사 1996.8
3. 전기회로, 최윤식외 공저, 의중당, 1996.2
4. 디지털
|
- 페이지 12페이지
- 가격 2,000원
- 등록일 2004.07.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
adder)
반가산기는 2진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력에 따라 출력한다. AND, OR, NOT의 세 가지 종류의 논리회로만으로 구성한다.
논리회로
진리표
입 력
출 력
A B
C S
0 0
0 0
0 1
0 1
1 0
0 1
1 1
1 0
- 전가산기(full adder)
이진수
|
- 페이지 12페이지
- 가격 2,000원
- 등록일 2010.06.08
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
OR 연산
0
1
XOR 연산
1
0
AND 연산
1
1
NOT 연산
■ 실험의 이론적 결과
(1) 예비보고서
(1) 전가산기(full adder)에 대해 설명하라.
아래 그림과 같이 2개의 비트 A, B와 밑자리로부터의 자리올림 Ci 을 더해 합 S와 윗자리
로의 자리올림 Co를 출력하는 조합
|
- 페이지 13페이지
- 가격 2,300원
- 등록일 2012.04.16
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
or (a and cin) or (b and cin);
end process;
end behav;
■ 1비트 Full Subtracter
library ieee;
use ieee.std_logic_1164.all;
entity full_sub is
port(a, b, bi : in std_logic;
di, bo : out std_logic);
end full_sub;
architecture behav of full_sub is
begin
process (a, b, bi)
begin
di <= a xor b xor bi;
|
- 페이지 13페이지
- 가격 1,000원
- 등록일 2007.01.21
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|