• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 170건

회로도가 지금까지 짜여진 VHDL 코딩에서 얻어낸 심볼로 "lastalu"를 설계한 회로도 이다. 결론 - 이번 연산은 산술뿐만 아니라 논리 연산까지 수행하는 4 bit ALU를 설계를 하였다. 스키메틱이 아닌 VHDL의 코딩으로 회로를 설계 하였는데, 처음 과제
  • 페이지 21페이지
  • 가격 3,000원
  • 등록일 2006.04.25
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
VHDL코딩을 중심으로), 이강현, 대영사 컴퓨터 구조원리, Miles J.Murodocca 외, 피어슨에듀케이션코리아 전자계산기 일반 및 컴퓨터구조, 이재수 외, 한올 병 렬 처 리 컴 퓨 터 의 개 념 ▲ 병렬처리(Parallel Processing) ▪▪▪▪τ
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2010.01.27
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
산술 연산 장치 가) 내부는 기본적으로 전 가산기로 구성되어 있으며, 이를 이용하여 가산 및 감산을 수행함. 나) 산술 연산 장치 구성 : 전 가산기 회로를 이용한 병렬 가산기로 구성됨, 다) 다음 7가지의 산술연산이 가능하다고 가정한다. (a)
  • 페이지 13페이지
  • 가격 2,300원
  • 등록일 2012.04.16
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
산술논리연산회로(1) …………………………… 35 실험  6. 산술논리연산회로(2) …………………………… 35  1. 실험 목적 …………………………… 35  2. 기초 이론 …………………………… 35  3. 예비 보고서 …………………………… 4
  • 페이지 79페이지
  • 가격 12,600원
  • 등록일 2012.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
반가산기 : 2진수 덧셈에서 두 개의 비트 A와 B를 더한 합 S와 자리올림(carry) C0를 출력하는 조합회로이다. 전가산기 : 두 개의 비트 A, B와 밑자리로부터의 자리올림 C1을 더한 합 S와 윗자리로의 자리올림 C0를 출력하는 조합회로이다. 가산
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2013.10.28
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
산술논리연산회로(1) 35 실험 6. 산술논리연산회로(2) 35 1. 실험 목적 35 2. 기초 이론 35 3. 예비 보고서 41 4. 실험 기자재 및 부품 43 5. 실험 방법 및 순서 43 6. 실험 결과 44 실험 7. 플립플롭(1) 48 실험 8. 플립플롭(2) 48 1. 실험 목
  • 페이지 78페이지
  • 가격 12,600원
  • 등록일 2013.12.29
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
산술회로 ○ 4비트 산술 가산회로 ▷ <그림 4-9> - 4개의 전가산기와 멀티플렉서로 구성 - 두개의 4비트 입력 A, B 그리고 출력 D - 입력 A는 2진 가산기의 X입력에 연결 - B는 멀티플렉서의 데이타 입력측에 연결 - 멀티플렉서는 B, B', 0, 1을
  • 페이지 11페이지
  • 가격 2,300원
  • 등록일 2004.05.20
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
회로 모양이다. 따라서 클럭 펄스가 들어올때마다 출력이 바뀌게 되며, 이 관계가 그림 5(c)의 진리표에 표기되어 있다. 또 T플립플롭의 표시기호는 그림 5(b)와 같고 이때 T는 클럭펄스를 나타낸다. T Qn+1 0 1 Qn Qn (a) 회 로 (b) 표시기호 (c) 진리표
  • 페이지 7페이지
  • 가격 1,500원
  • 등록일 2011.11.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL에 대한 이해부족으로 많은 어려움이 있었지만, 설계를 진행하가면서 VHDL에 대한 이해와 논리회로 설계에 대해 많은 것을 공부하고 배울 수 있었다. 앞으로 VHDL을 사용하여 회로를 이해하고 구성하는데 오늘의 프로젝트가 많은 도움이 될
  • 페이지 6페이지
  • 가격 5,000원
  • 등록일 2012.03.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
회로를 시뮬레이션이나 합성시 이미 별도로 합성된 다른 파일과 연결시켜 주는 역할도 한다. 링커(linker)와 비슷한 역할이라 할 것이다. 13. 마치며.. 지금까지 VHDL에 관하여 간략하게 알아보았다. 이번 숙제를 통하여 VHDL에 대한 배경부터 장점
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top