• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 158건

시한폭탄 설계내용 입니다. VHDL로 설계한 시한폭탄
  • 페이지 5페이지
  • 가격 10,000원
  • 등록일 2008.12.22
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
설계하였다. 여태까지 했던 실습들은 vhdl코딩만 하고 자일링스 프로그램만 돌리면 끝이었는데 이번 실습부터는 실제 킷을 사용하여 결과물을 킷에 출력도 해보고 하는 것이라 처음에는 약간 어렵고 난해하였으나, 그동안 그래도 배워온 것들
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하는 것. 이렇게 두가지 방법이 있었는데, 우리 조는 FPGA 킷을 이용하지 않는 쪽을 선택하였다. 한 학기 동안 논리회로 설계실험 과목을 수강하면서 생소했던 vhdl coding을 배우고, 매주 이론과 실습을 반복하면서, vhdl이라는 언어에 친숙해
  • 페이지 19페이지
  • 가격 4,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계하였다. 지난번의 디지털 시계 실습 때도 많이 헤맸었는데 이번 실습에서는 Vhdl Module파일이 3개나 되어서 처음에 소스코드를 작성하는데에 엄청나게 애를 먹었다. 또한, testbench를 시뮬레이션 돌렸을 때, 처음 파형에 unknown값이 있어서 계
  • 페이지 10페이지
  • 가격 2,500원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 3건

door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
시한폭탄-. 삼성경제연구소. 허윤정(2004). 고령화로 인한 노동시장의 변화와 대응과제. 한국노총 중앙연구원. 현외성 외(2005). 실버산업론. 학현사. 동아일보(2005. 01. 20). 빠르게 늙는 韓國. 동아일보(2005. 03. 02). 2020년쯤 가면 노동인력 부족. 동
  • 페이지 21페이지
  • 가격 3,000원
  • 발행일 2008.05.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 3건

설계에 관한 기초지식과 설계 툴 사용에 관한 스터디를 하였고 다양한 회로에 대한 세미나를 열었습니다. 그리고 방학을 통해 개설되는 다양한 강좌를 수강하고 실습을 하면서 역량을 키울 수 있었습니다. 이러한 연구실 활동 속에서도 전공
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
설계 단계에서부터 효율적인 아키텍처를 고려하고, 최적화된 회로 설계를 수행하는 것이 중요합니다. 2) RTL 설계 경험이 있습니까? 답변: 네, Verilog 및 VHDL을 활용한 RTL 설계 경험이 있으며, FPGA 프로젝트에서 FIR 필터 및 영상 처리 모듈을 설
  • 가격 4,000원
  • 등록일 2025.03.20
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
방법론 측면에서는 시뮬레이션, 설계, 검증을 유기적으로 연결하는 종합적 연구 방식을 따르겠습니다. 구체적으로 Verilog/VHDL 기반의 디지털 설계, HSPICE 기반의 아날로그 회로 시뮬레이션, TCAD 기반 소자 특성 분석, 그리고 MATLAB, Python 기반의
  • 가격 4,500원
  • 등록일 2025.07.07
  • 파일종류 한글(hwp)
  • 직종구분 기타
top