|
VHDL도 식별어의 첫 번째 문자는 반드시 영문으로 시작하며 두 번째 문자부터 영문자 및 숫자 그리고 언더바(_)등이 올 수 있다.
12. VDHL 기본구성과 표현
VHDL의 기본 구성으로써 여러 가지 종류의 Design Unit이 있다. 그중 가장 기본이 되는 최소한
|
- 페이지 10페이지
- 가격 2,300원
- 등록일 2013.08.07
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
then
REG <= LDDATA;
elsif ENABLE=’1’ then
if (CLK=’0’ and CLK’event) then
REG <= REGIN;
end if;
end if;
end process;
end RTL2; 1.순서(순차) 논리 회로의 개념
2.여러 순서 논리 회로의 VHDL 표현
3.Homwork
|
- 페이지 16페이지
- 가격 2,000원
- 등록일 2007.01.08
- 파일종류 피피티(ppt)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL을 다 접하고 있으니 놀라운 발전이라 할수 있다. 물론 시스템 업체를 포함한 대부분의 전자관련 업체에서도 지대한 관심을 가지고 있다 할수 있다. 1. (V)HDL이란?
2. HDL의 종류
3. VHDL의 역사적 배경
4. VHDL의 특징
5. VHDL의 표현방법(Y
|
- 페이지 3페이지
- 가격 2,000원
- 등록일 2012.03.13
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
VHDL을 익히는 것이었다. 물론 Gray code에 대한 이해도 하였으나 그것보다는 프로그램의 이해와 활용에 더 많은 시간이 소요되었다.
그 과정에서 QuartusII를 이용하여 implement로 표현하여 프로그램을 돌리는 것도 시도하였으며 그 결과 function을 VHD
|
- 페이지 6페이지
- 가격 1,800원
- 등록일 2013.08.07
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
표현한 VHDL 문장은 다음과 같으며 이를 수행하고 그 결과를 분석하라.
Source Code
library ieee;
use ieee.std_logic_1164.all;
entity moore_3p is
port( clk, x, reset: in std_logic;
y: out std_logic_vector(2 downto 0) );
end moore_3p;
architecture sample of moore_3p is
type states is (s0, s1, s2, s
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2010.11.02
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|