|
library ieee;
use ieee.std_logic_1164.all;
entity control is port(
clk : in std_logic;
st_op: in std_logic;
sw1 : in bit;
sw2 : in bit;
segcontrol : out std_logic_vector(3 downto 0);
segment : out std_logic_vector(6 downto 0));
end control;
archit
|
- 페이지 18페이지
- 가격 2,000원
- 등록일 2007.03.28
- 파일종류 압축파일
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
to 1 MUX를 decoding 하여 7-segment로 display.
Part 4에서 사용한 characters인 H.E.L.O를 사용하여 7-segment를 구성
CLK을 주어 하나 decoder 출력을 4개의 7-segment 에 ¼씩 시분할로 할당.
작업 순서
소스 코드 파악 및 예상 출력치 확인
주어진 스펙에 따른 알
|
- 페이지 28페이지
- 가격 3,000원
- 등록일 2010.03.12
- 파일종류 피피티(ppt)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
clk sw를 500hz로 올려주면 사람의 눈이 그만큼의 속도를 인지 하지 못하고 잔상효과로 하나의 글자나 표정을 보게 되는 것이다. 그리고 요즘 HDL 프로젝트 주 제에 대해서 생각하고 있는데 이번에 배운 7-segment와 dot-matrix를 이용하면 재밌는 프 로
|
- 페이지 5페이지
- 가격 2,000원
- 등록일 2011.06.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
SEGMENT를 일정시간(CLOCK)에 따라 제어하는 코드
- 핀 설정
Y[0]부터 Y[6]까지는 7-segment의 LED 번호이다.
실습 보드에는 총 8개의 7-segment가 있다.
COM[1]부터 COM[8]까지의 핀 설정은 이 7-segment를 선택하는데 쓰인다.
소스에서
이 부분을 주석처리하면
|
- 페이지 8페이지
- 가격 2,800원
- 등록일 2011.06.18
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
clk500: INSTD_LOGIC;
reset: INSTD_LOGIC;
mode_stop: INSTD_LOGIC;
st_stop: INSTD_LOGIC;
clear_stop: INSTD_LOGIC;
wmin: OUTINTEGER RANGE 0 to 60;
wsec: OUTINTEGER RANGE 0 to 60;
wmsec: OUTINTEGER RANGE 0 to 100);
END COMPONENT;
-------------------------------------------------------------
--segment---
|
- 페이지 17페이지
- 가격 8,400원
- 등록일 2012.11.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|