|
0
0
0
0
1
0
×
0
×
1
×
0
0
0
1
0
1
0
0
×
1
×
×
1
0
0
1
0
0
1
1
0
×
×
0
1
×
0
0
1
1
1
0
0
1
×
×
1
×
1
0
1
0
0
1
0
1
×
0
0
×
1
×
0
1
0
1
1
1
0
×
0
1
×
×
1
0
1
1
0
0
0
0
×
1
×
1
0
×
0
1
1
1
0
0
0
×
1
×
1
×
1
1
0
0
0
1
1
0
1
×
1
×
0
×
1
0
0
1
0
0
0
0
×
0
×
×
1
1
0
1
0
0
0
1
0
×
×
1
1
×
1
0
|
- 페이지 6페이지
- 가격 13,860원
- 등록일 2012.12.11
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
seg_89.htm
2. FPGA 보드 작동사진
1) 1번실험 사진(보드)
2) 2번실험 사진
3) 3번실험 사진
4) 4번실험 사진 1. Title
2. Name
3. Abstract
4. Background
- Encoder
- Decoder
- Multiplexer
- 7-Segement
5. Simulation
실험1) 4 to 1 MUX
실험2)Dip S/W 0~9 입력에
|
- 페이지 26페이지
- 가격 1,400원
- 등록일 2008.11.27
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
seg_89.htm
2. FPGA 보드 작동사진
1) 1번실험 사진(보드)
2) 2번실험 사진
3) 3번실험 사진
4) 4번실험 사진 1. Title
2. Name
3. Abstract
4. Background
5.Experimental Results
1. 실험1
A. Data
1)소스
2)동작 사진
B. Discussion
6. Analysis
7.
|
- 페이지 27페이지
- 가격 3,000원
- 등록일 2007.01.09
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
nt seg -- 사용 할 소회로 선언
port (
clk_4M : in std_logic;
rstb : in std_logic;
seg : out std_logic_vector (6 downto 0);
digit : buffer std_logic_vector (5 downto 0)
);
end component;
signal RSTB : std_logic:=\'0\'; -- 테스트용 시그널 선언 및 초기화
signal CLK_4M : std_logic:=\'0\';
signal DIGIT
|
- 페이지 5페이지
- 가격 1,500원
- 등록일 2019.06.29
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
101 : seg = 7'b1011011;
4'b0110 : seg = 7'b1011111;
4'b0111 : seg = 7'b1110010;
4'b1000 : seg = 7'b1111111;
4'b1001 : seg = 7'b1111011;
4'b1010 : seg = 7'b1110111;
4'b1011 : seg = 7'b0011111;
4'b1100 : seg = 7'b0001101;
4'b1101 : seg = 7'b0111101;
4'b1110 : seg = 7'b1001111;
4'b1111 : seg = 7'b10001
|
- 페이지 24페이지
- 가격 15,000원
- 등록일 2013.11.06
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|