• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 228건

main() { unsigned char *p; videomode(); p = (unsigned char *)malloc(14*25*2); get_date(); savescreen(0,55,13,79,p); clp(0,55,13,79); box(10,55,13,79); box(0,55,10,79); operate(); restscreen(0,55,13,79,p); free(p); } void videomode() { int mode; union R
  • 페이지 6페이지
  • 가격 1,300원
  • 등록일 2002.09.22
  • 파일종류 텍스트(txt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
. 63×77 = 4851, 77×(-63) = -4851, (-63)×(-77) = 4851, 63×0 = 0 네 종류의 연산 모두 정확하게 수행되고 있음을 알 수 있다. ① Multiplier ② Multiplier 모듈의 gate level 설계에 대한 고찰 ③ 32×32 Unsigned Binary Multiplier 설계 ④ 32×32 Signed Binary Multiplier 설계
  • 페이지 2페이지
  • 가격 1,200원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
Unsigned Letter from Pyoung YAng, North Korea, July, 1934, 재인용, 「한일신학대학 70년사」 Ⅰ. 들어가는 말 Ⅱ. 선교사, 서서평 2-1. 여성, 가장 소외된 자에게 도움을 2-2. 생명 봉사적 통전선교의 롤모델 2-3. 자기 희생적 삶 - ‘재생한 예
  • 페이지 4페이지
  • 가격 1,500원
  • 등록일 2018.11.08
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
_byte(unsigned char addr) : LCD character generator 또는 display RAM으로부터 한 Byte를 읽어온다. ⑥ void lcd_clear(void) : 디스플레이를 클리어 하고난 후 문자 표시 위치를 row 0, column 0 위치로 설정한다. ⑦ void lcd_gotoxy(unsigned char x, unsigned char y) : 현재 디스플레
  • 페이지 9페이지
  • 가격 3,000원
  • 등록일 2024.11.04
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
id;//식별자 unsigned short fl_offset0:4;//플래그의 오프셋 unsigned char flags:3;//플래그는 3비트임 unsigned short fl_offset1:1; unsigned short fl_offset2:8; unsigned char ttl;//Time to Live 즉 수명시간 unsigned char protocol;//아이피의 프로토콜 unsigned short checksum; unsigned long src_i
  • 페이지 7페이지
  • 가격 1,800원
  • 등록일 2012.04.15
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 3건

unsigned char *)pMainDlg->buf,(unsigned int *)&yuv); // Compress the frame..... pMainDlg->cparams.format=CPARAM_QCIF; pMainDlg->cparams.inter = CPARAM_INTRA; pMainDlg->cparams.Q_intra = 8; pMainDlg->cparams.data=(unsigned int *)&yuv; // Data in YUV format... CompressFrame(&pMai
  • 페이지 58페이지
  • 가격 2,000원
  • 발행일 2007.11.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
tion 선언 ////////////////////////////////////////////// /////////////////////////////////////////////////////////////////////////////////// void uart_init(void); void Send_Data(unsigned char data); 2.3 실험 및 결과 2.3.1 하드웨어 동작 결과 처음에 본체와 컨트롤러간에 RF통신을 Enale 하기
  • 페이지 57페이지
  • 가격 3,000원
  • 발행일 2010.03.24
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top