• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 111건

VHDL을 익히는 것이었다. 물론 Gray code에 대한 이해도 하였으나 그것보다는 프로그램의 이해와 활용에 더 많은 시간이 소요되었다. 그 과정에서 QuartusII를 이용하여 implement로 표현하여 프로그램을 돌리는 것도 시도하였으며 그 결과 function을 VHD
  • 페이지 6페이지
  • 가격 1,800원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
B1, B2, B3 3개의 Binary code input을 G1, G2, G3 3개의 Output이 나오도록 설계한다. 이때 3개의 값은 Gray code Output이다. POS, SOP를 이용하여 설계하여보고, Karnough map을 이용하여 최적의 Logic Network를 구현한다. 그리고 이 설계를 이용하여 VHDL로 Coding하고 결
  • 페이지 9페이지
  • 가격 1,000원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
adder; ARCHITECTURE Behave OF adder IS BEGIN s <= (NOT a AND((NOT b AND c)OR(b AND NOT c)))OR(a AND NOT(((NOT b AND c)OR(b AND NOT c)))); cout <= (a AND b)OR(b AND c)OR(a AND c); END Behave; Project #1 Gray Code Converter 1. 개요 2. 이론 3. 설계 4. 결과분석 5.
  • 페이지 4페이지
  • 가격 800원
  • 등록일 2010.01.24
  • 파일종류 워드(doc)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
VHDL로 구성하여 출력하시오. 클록의 주기는 40ns로 하시오. 각 출력을 부울대수로 나타내면 다음과 같다. 아래와 같이 VHDL과 Simulation을 실행하였다. 5. 고찰 부울대수를 간략화하는데 진리표를 작성하여 minterm 또는 maxterm 이 두가지 방법을 이용
  • 페이지 6페이지
  • 가격 1,000원
  • 등록일 2011.12.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
코드의 입 출력을 연결하고 기계에 implement 하여 결과 값을 눈으로 확인한다. 6. Provide the simulation result and the code binary counter 000부터 111까지 실습 장면 000 001 010 011 100 101 110 111 library IEEE; use IEEE.STD_LOGIC_1164.ALL; --입출력 선언부분 entity bin_gray_cnt is
  • 페이지 13페이지
  • 가격 1,500원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
top