• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,275건

카나프 맵 상에서 같은 양단 끝에 있는 1의 표시 칸은 합하여 1개의 루프로 만들어 묶을 수 있다. (Rolling) ▶ 비교기 회로도 ▶ 그림 8-5 회로도 논리회로의 간소화 ■ 실험 목표 ■ 사용 부품 ■ 관련이론 ■ 실험 순서 ■ 심층 탐구
  • 페이지 15페이지
  • 가격 2,000원
  • 등록일 2010.04.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
간소화, 다시 간소화된 표현식을 구현한 후 회로를 구성하는 실험이었다. 실험순서 2에서는 실험순서 1에서 만든 진리표로 카르노맵을 완성하는 단계에서 최소 SOP식을 추출하여 무효한 코드에 대한 표현식을 찾는 실험이었는데 SOP식을 해석
  • 페이지 10페이지
  • 가격 2,000원
  • 등록일 2010.04.07
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
 실험8 논리회로 간소화 실험 목표 사용 부품 이론 요약 실험순서 실험8보고서 실험목표: 결과 및 결론: 평가 및 복습문제 ▶고찰
  • 페이지 15페이지
  • 가격 1,500원
  • 등록일 2015.04.11
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
변화 제 1장 컴퓨터와 디지털 논리회로 제 2장 데이터 표현 제 3장 논리 게이트와 부울 대수 제 4장 부울대수 간소화 및 구현 제 5장 조합 논리회로 제 6장 순서 논리회로 제 7장 레지스터와 카운터 제 8장 기억장치와 PLD
  • 페이지 183페이지
  • 가격 5,000원
  • 등록일 2014.08.31
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
일때와 B가 1일때의 를 측정하자. B=0일때 B=1 ⑥ logic equation11을 만족하는 회로를 구성한 후 B가 0 또는 1일때의 입력과 출력의 전압을 측정하자. B=0일때 B=1일때 8. 논리회로 간소화 실험목적 BCD - 부당한 코드 탐지기의 진리표를 나타낸다. 논
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2010.08.24
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 2건

회로에서 구현하였던 회로를 구현하기에는 광범위한 회로가 요구됨으로 인하여 UP신호가 들어가는 곳에 PMOS로 들어가는 하나의 입력신호를 인가하였고 NMOS 쪽에는 DOWN신호를 인가하여 위상간의 차이를 전하펌프에서 전류의 크기로 바꾸어 전
  • 페이지 28페이지
  • 가격 3,000원
  • 발행일 2010.02.22
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
논리과정을 설명하는 데 주안점을 두었으며, 후반부에 실제 사용한 회로도 및 프로그램을 수록하였습니다. , Ⅱ 본론 2.1 기본 원리 (1) 주차 시뮬레이션 1) 주차 공간에 대한 데이터를 로드 한다. (실제 구현의 경우 주차 공간에 대한 데이
  • 페이지 40페이지
  • 가격 10,000원
  • 발행일 2014.10.17
  • 파일종류 압축파일
  • 발행기관
  • 저자

취업자료 17건

회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
회로1,2, 집적회로, 디지털논리회로1,2, 고급디지털회로 과목을 수강하며 회로에 대한 심화 지식을 쌓았습니다. 특히 고급디지털회로에서 부동소수점 곱셈기, 월리스 트리, 4족 로봇의 동작 등을 Verilog로 작성하는 과정에서 RTL 설계 역량을 길
  • 가격 1,000원
  • 등록일 2023.03.24
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
[프로그래밍및실습/A+] C 언어로 프로그래밍을 학습. [전자회로및설계(1)/A+] 전자의 전반적인 이해와 기본적인 회로를 설계 하였습니다. [공업수학/A+] 전기관련분야를 이해하기 위한 과정을 학습. [디지털공학/A+] 순서논리회로의 분석 및 설계
  • 가격 1,200원
  • 등록일 2012.05.12
  • 파일종류 한글(hwp)
  • 직종구분 전문직
논리회로(2013) / A+학점 취득 전자회로1(2013) / A-학점 취득 회로이론1(2013) / A+학점 취득 전자장1(2013) / A학점 취득 전자회로2(2013) / B+학점 취득 회로이론2(2013) / A+학점 취득 전자장2(2013) / A학점 취득 마이크로프로세서0000(2014) / A학점 취득 전자회
  • 가격 10,000원
  • 등록일 2017.10.19
  • 파일종류 한글(hwp)
  • 직종구분 IT, 정보통신
논리회로설계실험 과목을 들었을 때 Rov-Lab 3000을 이용한 알람기능을 가진 디지털시계를 만들기 위해서 실험실에서 밤을 새며 알고리즘을 연구, 구현해 결국엔 원하는 결과물이 만들어져 즐거웠던 적. 이 경험들이 계속해서 머릿속에 좋은 기
  • 가격 3,000원
  • 등록일 2023.02.13
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
top