• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 62건

디지털 사진 인화시장의 가장 큰 수용층인 10대~30대의 인터넷 선호로 인화사진의 수요가 우리의 기대보다 낮을 위험이 있음 Ⅸ.재무계획 1.사업시 소요자금 내용 금액(원) 시설자금 점포 계약금 및 보증금 25,000,000 디지털사진인화자판기(리스5
  • 페이지 9페이지
  • 가격 2,000원
  • 등록일 2005.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
자판기 회로 테스트벤치(simvision) module TestBanch_Vending_Machine(); reg t_Clock, t_Cancel,t_Selectbit; reg [1:0]t_In; wire t_Change, t_Control; wire [1:0]t_Out; Vending_Machine M0 (t_Clock, t_Cancel,t_Selectbit,t_In, t_Change, t_Control, t_Out); initial begin $shm_open("TestBanch_Vending_Machine.
  • 페이지 26페이지
  • 가격 3,300원
  • 등록일 2013.03.02
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
입력에 0->1로 하여 Q1Q2=00으로 만든다. (6)클록입력에 펄스를 주어서 Q1Q2의 상태표를 기록한다. 5.Reference 디지털 회로 실험 <한양대학교> 디지털 논리 회로 <John M. Yarbrough> 1.제목 2.실험 목적 3.관련이론 4.실험 방법
  • 페이지 79페이지
  • 가격 2,000원
  • 등록일 2015.10.06
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
개발 배경 및 필요성 개발의 필요성 음료를 살 때, 멀리 있는 마트까지 가는 번거로움을 해소 할 수 있고, 마트가 문 닫았을 시간에도 이용할 수 있기 때문에 필요하다. 개발 과정에서의 문제점 시중에 있는 음료자판기와 크게 다른
  • 페이지 22페이지
  • 가격 8,000원
  • 등록일 2011.12.13
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
---------모듈부분 간략설명-------------- module vending(Clk, reset, choice, In, Change, exceed, Out); //Input setting input Clk; input reset; // S0상태로 설정 (S0=0원) input choice; // 선택 (1=음료선택,0=비활성화) input [1:0]In; // IN을 2bit로 입력받음 (00=0원, 01=500원, 10=1000
  • 페이지 25페이지
  • 가격 3,000원
  • 등록일 2014.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 1건

자판기의 무선 통신 ------------------ 4.4.5 홈 오토메이션 (Home Automation) ----------------- 4.4.6 디지털 기기간의 무선 통신 ------------------ 4.4.7 무인 방범 업체와의 제휴 -------------------- 4.4.8 액세스 포인트 (Access Point) -------------------- 4.4.9무선 헤
  • 페이지 44페이지
  • 가격 15,000원
  • 발행일 2010.01.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top