• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 424건

VHDL을 이용하여 ALU(Arithmetic logic unit)을 설계해보고, 합성 툴을 이용한 합성을 통하여 설계된 ALU가 정상적으로 동작하는지 여부를 확인해보는 것 이였습니다. 전반적인 Code에 조건문으로 IF문을 많이 사용하였고, 각 블록 단위로 코딩을 실시하
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2012.06.13
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
설계』, 다다미디어 4. 정희성 외, 『디지털 회로 기술 언어 입문, 논리설계와 HDL의 기초』, 홍릉 과학 출판사 5. 최명렬, 『주문형 반도체 설계 ASIC DESIGN』, 하이테크정보 6. Stephen Brown/Zvonko Vranesic, 『Fundamentals of Digital Logic with VHDL Design 3/e』,
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
기판을 연결해서 디지털 시계를 만들어 본다던지 하는 것에 관심이 생겼다. 다음 실습인 계산기 설계에서도 미리미리 준비하고 예습해서 어려움 없이 성공적으로 실습을 마무리 지어야 겠다. 1. Purpose 2. Problem Statement 3. Sources & Results
  • 페이지 8페이지
  • 가격 2,000원
  • 등록일 2010.01.18
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
목적 VHDL로 작성된 16bit myrisc processor를 참조하여 Verilog를 이용하여 설계, 구현한다. 필요성 주어진 16bit risc processor VHDL 코드를 참조하여 이번 학기 컴퓨터 구조 수업에서 배운 RISC processor과 ALU(Arithmetic Logic Unit) 등의 구조와 Pipelining등의 기술
  • 페이지 4페이지
  • 가격 2,000원
  • 등록일 2006.06.29
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
이용한 VHDL 및 FPGA 실습 김 재 철 | 홍릉과학출판사 | 2005년 02월 [5] FPGA DESIGN 이론 및 실습 DAVID VAN DEN BOUT | 김만복 편 옮김 | 홍릉과학출판사 | 2000년 09월 [6] 디지털 논리와 컴퓨터 설계 M.MORRIS MANO | 강철희 외 옮김 | 교보문고 | 2005년 02월 
  • 페이지 36페이지
  • 가격 2,000원
  • 등록일 2007.01.30
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 4건

VHDL 및 Design Analysis를 이용하여 설계검증 하였다. 25000컬러구현을 목적으로 한 Digital controller이나 직접적으로 OLED를 통하여 검증을 하지 못하였으나, 입력값과 결과값의 확인을 통하여 필요한 부분만을 설계하여 chip 및 처리속도에서 만족할 수
  • 페이지 18페이지
  • 가격 7,000원
  • 발행일 2008.05.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
따른 특성 3.4 미래 기술의 원천인 나노(Nano)를 이용한 탄소나노튜브 동향 3.4.1 탄소나노튜브(CNT) 3.4.2 탄소나노튜브(CNT)의 종류 및 구조 3.4.3 CNT를 이용한 주요 기술개발 및 Display 개발동향 3.4.4 CNT 산업의 당면 과제 4. 결론
  • 페이지 35페이지
  • 가격 4,000원
  • 발행일 2010.03.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
설계 및 공정 기술” - 김차연, “BLU 기술동향” 한국정보디스플레이학회지 제2권 제1호, 2001.2 - “인포메이션 디스플레이” 한국정보디스플레이학회지 제6권 제3호, 2005.6 - 전자신문 < http://www.etimesi.com> - 기술연구소 Raygen “ Back Light의 이
  • 페이지 31페이지
  • 가격 3,000원
  • 발행일 2010.01.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자

취업자료 2건

이용해 직접 VHDL소스를 설계하고 컴파일하는 프로젝트였습니다. 저희는 엘리베이터의 7-SEGMENT를 전자키트에 표출하는 것으로 방향을 잡았습니다. 하지만 팀원과 저는 생소하고 처음 접하는 프로젝트이기에 설계하는 데 어려움이 많았습니다.
  • 가격 3,000원
  • 등록일 2023.02.10
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
VHDL 언어를 배우기 시작했을 때 생각하고 있는 어떤 회로라도 설계할 수 있는 도구를 얻은 듯한 기쁨으로 공부할 수 있었습니다. 그리고 이를 이용하여 각종 간단한 디지털회로에서 복잡한 제어용 회로들을 구현해보고 IEEE에 올라온 최신 논
  • 가격 2,000원
  • 등록일 2010.01.11
  • 파일종류 한글(hwp)
  • 직종구분 일반사무직
top