• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 51건

State Transition Diagram of Signal Lamp, 베릴로그, 실험소스 결과레포트와 실험소스만 있습니다. 없음
  • 페이지 3페이지
  • 가격 1,000원
  • 등록일 2008.11.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
NOR Circuits 7. EXCLUSIVE-OR GATES Ⅸ. 논리회로와 컴퓨터논리회로 1. Boolean Algebra 1) 창시 2) 논리설계에 응용 2. 기본 논리 : AND, OR, NOT, (XOR or EOR) 3. Boolean Algebra 의 증명 1) 공리(가설:Postulate) 2) 진리표(Truth Table) 3) Venn Diagram 4. Boolean Function
  • 페이지 18페이지
  • 가격 9,000원
  • 등록일 2013.07.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
실험이었다. 언뜻 보면 어렵고 복잡하다 느낄 수 있지만 상태도를 정확히 그려낼 수 있다면 지난번 실험과 크게 다를 바 없이 상태에서 상태로 변환과 출력만 잘 잡아주면 되는 문제였다. 다만 KIT의 LED부분에 문제가 있었는지 어느 것도 제대
  • 페이지 8페이지
  • 가격 1,000원
  • 등록일 2014.06.23
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are exp
  • 페이지 1페이지
  • 가격 5,000원
  • 등록일 2010.11.09
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
case 1인 경우의 것이고 두 번째 waveform과 네 번째 waveform은 case 2인 경우의 것이다. < 제 목 > < 목 표 > < 설계조건 > 1. FSM state diagram 2. 엘리베이터 동작 설명 3. verilog 코드 & 주석 4. verilog 설명 5. waveform + 설명 6. 결과 및 토의
  • 페이지 11페이지
  • 가격 4,000원
  • 등록일 2007.12.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 2건

of vision sensing of robot and examine this algorithm through simulation. Keywords : VOR, vestibulo-ocular reflex, vision sensing, encoder, servo motor. 1. 서 론 1.1 연구배경 1.2 연구목적 1.3 연구내용 2. 본 문 2.1 시스템 개괄 2.1.1 System Block Diagram 2.1.2 System Specification 2.2
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
of door_lock is   type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);     signal state : state_type;     signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);     signal key_d,key_det : std_logic;     signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;     signal save1,sa
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
top