|
of-Service Model for Pedestrians at Signalized Intersections", Transportation Research Record No. 1939, TRB, National Research Council, Washington D.C., 2005
25. "Quality/Level of Service Handbook", Florida Department of Transportation, Office of the State Transportation Planner, 2002
26. Richard G
|
- 페이지 10페이지
- 가격 3,000원
- 발행일 2011.02.17
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
of vision sensing of robot and examine this algorithm through simulation.
Keywords : VOR, vestibulo-ocular reflex, vision sensing, encoder, servo motor. 1. 서 론
1.1 연구배경
1.2 연구목적
1.3 연구내용
2. 본 문
2.1 시스템 개괄
2.1.1 System Block Diagram
2.1.2 System Specification
2.2
|
- 페이지 24페이지
- 가격 3,000원
- 발행일 2010.01.19
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
of Christianity in the Transformation of Former Eastern-Bloch Countries, Religion, State and Society, Vol. 20, Nos. 3 & 4, 1992.
Sanders, A. J, Mongolia: Politics, Economics and Society, frances Pinter, 1987.
Sheehy, D, 'Sustainable Livestock Use of Pastoral Resources', O. Bruun and O.
Sokolewicz,
|
- 페이지 19페이지
- 가격 2,000원
- 발행일 2013.11.12
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
of Famine in North Korea," Special Report, United States
Institute of Peace, August 2, 1999.
Scott Snyder, "Challenges of Building a Korean Peace Process: Political and Economic
Transition on the Korean Peninsula," Special Report, United States Institute of
Peace, June 1998.
Scott Snyder, "A Coming
|
- 페이지 26페이지
- 가격 4,000원
- 발행일 2005.06.09
- 파일종류 한글(hwp)
- 발행기관
- 저자
|
|
of door_lock is
type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);
signal state : state_type;
signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);
signal key_d,key_det : std_logic;
signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;
signal save1,sa
|
- 페이지 51페이지
- 가격 3,000원
- 발행일 2012.06.24
- 파일종류 기타
- 발행기관
- 저자
|