• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 137건

State Transition Diagram of Signal Lamp, 베릴로그, 실험소스 결과레포트와 실험소스만 있습니다. 없음
  • 페이지 3페이지
  • 가격 1,000원
  • 등록일 2008.11.28
  • 파일종류 압축파일
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
State Transition Diagram 33 STD (State) 34 STD(Transitions) 35 STD(Consistency Checking 지침) 36 STD(Relationships to DFD) 37 Semantic data models 38 Notation for semantic data models 39 Software design semantic model 40 Object models 41 Object class notation 42 Inherit
  • 페이지 51페이지
  • 가격 3,000원
  • 등록일 2004.02.29
  • 파일종류 피피티(ppt)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
부득이 위의 방식으로 하였다. State diagram과 table을 파악해 가며 그림을 그리는 것은 다른 단원보다 훨씬 흥미로워 더 재미있게 숙제를 할 수 있었던 것 같다. 8.참고문헌 (1) Fundamentals of Digital Logic with VHDL Design second edition, Stephen Brown, 2005 
  • 페이지 9페이지
  • 가격 2,300원
  • 등록일 2013.08.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
of sa_con is signal ps, ns : integer range 0 to 9; begin -- state register -- process(clk, rst) begin if (rst='1') then ps <= 0; elsif (clk'event and clk='1') then ps <= ns; end if; end process; -- state transition -- process(rst, ps) begin done <= '0'; sh<= '0'; cout<= '0'; case ps
  • 페이지 18페이지
  • 가격 1,000원
  • 등록일 2007.01.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
앞서 언급했던 cnt overflow 현상만 보정해주면 정확한 설계라고 보여진다. - Specification of STLC - I/O signal description - Block diagram of system - State diagram - Verilog HDL source code - Test plan & Result - Simulation results in waveform - Conclusions & Comments
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2015.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 5건

of-Service Model for Pedestrians at Signalized Intersections", Transportation Research Record No. 1939, TRB, National Research Council, Washington D.C., 2005 25. "Quality/Level of Service Handbook", Florida Department of Transportation, Office of the State Transportation Planner, 2002 26. Richard G
  • 페이지 10페이지
  • 가격 3,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
of vision sensing of robot and examine this algorithm through simulation. Keywords : VOR, vestibulo-ocular reflex, vision sensing, encoder, servo motor. 1. 서 론 1.1 연구배경 1.2 연구목적 1.3 연구내용 2. 본 문 2.1 시스템 개괄 2.1.1 System Block Diagram 2.1.2 System Specification 2.2
  • 페이지 24페이지
  • 가격 3,000원
  • 발행일 2010.01.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
of Christianity in the Transformation of Former Eastern-Bloch Countries, Religion, State and Society, Vol. 20, Nos. 3 & 4, 1992. Sanders, A. J, Mongolia: Politics, Economics and Society, frances Pinter, 1987. Sheehy, D, 'Sustainable Livestock Use of Pastoral Resources', O. Bruun and O. Sokolewicz,
  • 페이지 19페이지
  • 가격 2,000원
  • 발행일 2013.11.12
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
of Famine in North Korea," Special Report, United States Institute of Peace, August 2, 1999. Scott Snyder, "Challenges of Building a Korean Peace Process: Political and Economic Transition on the Korean Peninsula," Special Report, United States Institute of Peace, June 1998. Scott Snyder, "A Coming
  • 페이지 26페이지
  • 가격 4,000원
  • 발행일 2005.06.09
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
of door_lock is   type state_type is (s0,s1,s2,s3,ss0,ss1,ss2,ss3,result);     signal state : state_type;     signal x1,x2,x3,x4 : std_logic_vector(3 downto 0);     signal key_d,key_det : std_logic;     signal sw1_d,sw1_det,sw2_d,sw2_det : std_logic;     signal save1,sa
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자

취업자료 1건

of the following electrical system, explain how it affects the system and protection scheme. 11. The following diagram shows the basic interlock logic sequence. Explain how the logic works and describe dependent on input signal A and B. Also, write a logic table and describe it by using a logic
  • 가격 9,500원
  • 등록일 2015.10.08
  • 파일종류 한글(hwp)
  • 직종구분 기타
top