• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 387건

설계과제명 State machine을 이용한 Serial adder 설계 주요기술용어 (5~7개 단어) Shift Register, Full Adder, Decoder, Multiplexer, Serial Adder Mealy Machine, Parallel In, Output, FSM 1. 과제 목표 주어진 제한요소(경제성, 경고성, 확장성, 적시성)를 고려한 Serial Adder
  • 페이지 9페이지
  • 가격 2,000원
  • 등록일 2009.07.20
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
확인하시오. (1) JK FF (2) D FF (3) JK FF을 이용하여 D FF을 설계 2. 3단 PN sequence shift register를 구현하고 결과값을 확인하시오. (Initial state : D1=D2=D3=1) ▶진리표에의한 파형 1)Q1의 파형 2)Q2의 파형 3)Q3의 파형 ▶배선도 ★고찰
  • 페이지 4페이지
  • 가격 1,300원
  • 등록일 2013.05.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
state 설정 reg [4:0] state; //현재상태 reg [4:0] next_state; //입력받은 다음상태 ---------------타이밍밴치간략설명---------- module Simulation_Vending_Machine; reg Clk, reset, choice; //클락, 반환버튼, 음료선택 활성화 reg [1:0]In; //동전입력 00:0원 , 01:500원, 10:1000
  • 페이지 25페이지
  • 가격 3,000원
  • 등록일 2014.06.21
  • 파일종류 피피티(ppt)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
state register -- process(clk, rst) begin if (rst='1') then ps <= 0; elsif (clk'event and clk='1') then ps <= ns; end if; end process; -- state transition -- process(rst, ps) begin done <= '0'; sh<= '0'; cout<= '0'; case ps is when 0 => if (rst='0') then ns <= 1; else ns <=
  • 페이지 18페이지
  • 가격 1,000원
  • 등록일 2007.01.21
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
state <= s2; else next_state <= s1; end if; when s2 => if x='1' then next_state <= s3; else next_state <= s2; end if; when s3 => if x='0' then next_state <= s0; else next_state <= s3; end if; end case; end process; p2 : process(reset, clk) -- Register Operation begin
  • 페이지 18페이지
  • 가격 2,000원
  • 등록일 2010.11.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음

논문 5건

기계의 비약적인 발전 5.2 조선. 기자재 업계 간 동반발전을 위한 협약체결 5.3 조선 3사 포스코. KR 협의체 구성 Ⅳ 조선산업의 경쟁력 확보방안-협력업체를 중심으로 1. 전략목표 2. 협력업체와의 공조체제 확립 3. 기
  • 페이지 73페이지
  • 가격 9,900원
  • 발행일 2008.11.11
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
Shift , New York: Bantam Books, Inc. Tocqueville, Alexis de 1945, Democracy in America , New York: Vintage Books. Touraine, Alain 1974, The Post-Industrial Society , London: Wildwood. Vig, Norman J. 1988, "Technology, Philosophy, and the State", in Michael & N. J. Vig, eds., Technology and Politics
  • 페이지 39페이지
  • 가격 4,900원
  • 발행일 2010.06.16
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
state and trade unions in Switzerland: An historical reconstruction of the shift from a liberal to a post-liberal welfare regime”, 「Journal of European Social Policy」, 20(1), 2010. 3. 연구보고서 한국개발연구원 공공투자관리센터. (2009). 「예비타당성조사 보고서 낙동강살리기
  • 페이지 13페이지
  • 가격 2,200원
  • 발행일 2016.12.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
State Transportation Planner, 2002 26. Richard G Dowling, David Reinke, Aimee Flannery. "Multimodal Level of Service Analysis for Urban Streets". TRB, American Association of State Highway and Transportation Officials, NCHRP 3-70, 2008 27. Soren Underlien Jensen, "Pedestrian and Bicycle Level of Ser
  • 페이지 10페이지
  • 가격 3,000원
  • 발행일 2011.02.17
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
기계항공공학부) [3] Unconventional methods for forming nanopattern (M E Stewart, M J Motala, Jimin Yao2, L B Thompson, and R G Nuzzo) [4] http://www.cheric.org/board/view.php?code=f05&seq=11402&page=7 [5] 제6판 고체전자공학, Soid State Electronic Devices (벤 스트리트만, 산제이 배너지) [6] &
  • 페이지 8페이지
  • 가격 1,800원
  • 발행일 2008.06.23
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top