• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 1,787건

ARENA 소프트웨어를 이용한 자동차 부품 제조 공장 시뮬레이션 모델링”, 한국자동차 학회 2004년도 추계학술대회 논문집, 2004, p. 1164 백인흠, 선박재항시간에 대한 분석연구, 水産海洋敎育學會(10-1), 1998, p.10. 문일경 외, “ARENA를 이용한 시뮬레
  • 페이지 10페이지
  • 가격 2,300원
  • 등록일 2013.07.07
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시뮬레이션, 박영사 홍성주·이성엽 공저, 현금흐름표 Kelton Sadowski Sturrock, Arena를 이용한 시뮬레이션 4th edition Navroff Nicholas, 이상헌 역, 가상현실, 김영사, 1995 Ⅰ. 현금흐름 시뮬레이션 1. 현금흐름 시물레이션의 개요 2. 현금흐름 Simulation
  • 페이지 14페이지
  • 가격 6,500원
  • 등록일 2011.04.05
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시뮬레이션 20,000분 1회 반복 실행, 기계 대기행렬들의 평균 길이, 평균 부품별 주기 시간 ??? [실행 결과 정리] ※ 결론 : 부품이 기계 2의 작업을 완료 했음을 할당하기 위하여, Assign Module 사용하여 Value 값 1을 부여하고, Decide Module을 이용하여
  • 페이지 13페이지
  • 가격 2,000원
  • 등록일 2009.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시뮬레이션 실행 -. 모든 부품의 평균 주기 시간, 대기 행렬별 평균 대기 부품수 ??? -. 애니메이션 실행 : 부품 유형별로 다른 모양, 자원이 가동 중, 유휴 구분 [실행 결과] [결 론] 첫번째 공정에는 한 명의 작업자에 의해 작업이 진행되고 있고,
  • 페이지 7페이지
  • 가격 2,000원
  • 등록일 2009.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
시뮬레이션 10,000분 동안 실행 각 자원이 고장 상태로 보내는 시간의 백분율과 각 작업장의 대기 행렬에서의 마지막 상태 ??? [실행 결과 정리] ※ 결론 : 수리 시간이 많이 소요될 수록 대기 시간이 증가하는 것을 볼 수 있으며, 시스템의 이용
  • 페이지 11페이지
  • 가격 2,000원
  • 등록일 2009.11.12
  • 파일종류 한글(hwp)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음

논문 20건

시뮬레이션 기법을 이용한 신제품 성과분석 진로 소주의 신제품을 중심으로-”, 학위논문, 중부대학교 경영 학과 목 차 Ⅰ. 서 론 2 Ⅱ. 기업 타당성 분석 기법 2 1. NPV(Net Present Value) 2 2. IRR(Internal rate of return) 3 3. Var(Value at Risk
  • 페이지 15페이지
  • 가격 2,800원
  • 발행일 2012.12.05
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용하여 시뮬레이션 했고 그 값이 실제 소자를 이용하여 시스템을 설계했을 때와 비교하여 문제점과 개선점을 찾았다. 로봇이 물건을 원하는 위치에 옮기는 동작에서 로봇의 그립이 먼 거리에 있을 때와 가까이 있을 때 분해능이 다르기 때
  • 페이지 7페이지
  • 가격 2,500원
  • 발행일 2010.02.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
서론 2. 로봇 개론과 설계 2.1 로봇의 개론 2.2 로봇의 CATIA설계 3. DAFUL 개론과 시뮬레이션 3.1 DAFUL의 개론 3.2 로봇의 구속조건 3.3 로봇의 시뮬레이션 4. 결과와 분석 5. 고찰 6. 참고 문헌 및 도움 주신분
  • 페이지 43페이지
  • 가격 5,000원
  • 발행일 2012.06.19
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 설계 80 Verilog-HDL을 이용한 설계 20 Simulink를 이용한 시뮬레이션 20 Simulink를 이용한 시뮬레이션 80 <표5-2. 비용> 항목 세부항목 소요비용 재료비 시제품가공비 기타 경비 목차 1장. 작품과제 필요성 2장. 작품과제 해결 방안 및
  • 페이지 24페이지
  • 가격 30,000원
  • 발행일 2009.12.08
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
이용한 PLL 모듈을 이용하여 설계 및 제작 하였다. 또한 PLL에 사용되어지는 VCO를 설계 및 제작하였다. DDS는 높은 주파수 해상도, 빠른 lock time, 낮은 위상잡음 등의 장점이 있지만, 출력 주파수가 낮은 단점이 있다. 그러나 출력 주파수가 높은 PL
  • 페이지 35페이지
  • 가격 3,000원
  • 발행일 2008.03.04
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자

취업자료 30건

ayout 변경과 인간공학적 설계법 적용으로 여유공간 확보 및 생산효율 향상이 가능하리라 생각했습니다. 정확한 결과 값 도출을 위해 Catia 및 Delmia 를 이용하여 시뮬레이션을 구현했고, 그 결과 120%의 생산성 향상 및 5개월 내 투자금 회수라는
  • 가격 1,200원
  • 등록일 2013.05.02
  • 파일종류 한글(hwp)
  • 직종구분 기타
이용하여 전자회로 시뮬레이션 경험이 있나요? 전자회로란 무엇이고 이와 관련하여 자신이 회사를 위해 할 수 있는 일이 뭔가요? 엔지니어란 무엇인가요? 엔지니어의 자세와 관련해서 말해보세요. 정보통신공학부인데 학과의 특징은 무엇인
  • 가격 2,500원
  • 등록일 2018.09.04
  • 파일종류 한글(hwp)
  • 직종구분 전문사무직
발생할 수 있는 문제와 이를 해결하는 기술 등의 지식을 습득했습니다. 3: SRIM, TCAD과 같은 시뮬레이션 프로그램을 이용해 공정 데이터를 도출하고 excel로 정리하여 분석하는 경험을 했습니다. 1. 직무 지식/경험 2. 직무 관련 강점
  • 가격 3,000원
  • 등록일 2023.02.23
  • 파일종류 워드(doc)
  • 직종구분 일반사무직
과 같습니다. ① 디지털 신호 처리 -C 프로그래밍을 이용해서 FFT와 샘플링 알고리즘 작성 ② 마이크로파회로, 마이크로파 공학 - 세레나데를 이용한 Band pass 필터와 간단한 LNA 의 시뮬레이션 ③ 안테나 공학 - 앙상블을 이용한 광대역 안테나의
  • 가격 1,000원
  • 등록일 2007.09.30
  • 파일종류 한글(hwp)
  • 직종구분 전문직
시뮬레이션을 통해 현장에서 실무적으로 이용 하여 IT 관리에 힘썼습니다 IT 해 외 연수와 현장 실습을 통해 다양한 프로젝트를 실습하고 교육받았 습니다 . 이후 IT 트렌드를 이해하고 전략을 세워 IT 융합구조를 설계했습니다 . IT 를 바탕으
  • 가격 2,000원
  • 등록일 2019.08.23
  • 파일종류 아크로벳(pdf)
  • 직종구분 공사, 공무원
top