• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

논문 2,610건

1인 미디어 중, 가장 인상 깊었던 콘텐츠나 영상은 무엇인가요?(2~3가지씩) 좋았던 콘텐츠와 영상(자신에게 도움이 된 영상): 이유: 나빴던 콘텐츠와 영상(자신에게 악영향을 끼쳤던 영상): 이유: EX) 좋았던 콘텐츠와 영상: 연고티비- 내신관리
  • 페이지 21페이지
  • 가격 2,000원
  • 발행일 2024.01.18
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
A comparative study of teacher talk in English class between English L1 and Chinese L1 Teacher. The Linguistic Association of Korea Journal, 28(3), Great achievements on classroom discourse research has been made in China and empirical research using the method of discourse analysis on teacher talk
  • 페이지 18페이지
  • 가격 28,000원
  • 발행일 2023.02.22
  • 파일종류 워드(doc)
  • 발행기관
  • 저자
 클린턴 정부는 북한의 핵개발 수위를 정확히 판단할 수 없기 때문에 손실을 최소화하고자 현상유지 정책을 추진하였다. 1차 북핵위기가 발발했을 때 클린턴 정부의 어조는 강경했다. 그러나 클린턴 정부는 미국의 실익이 군사제재의 모험
  • 페이지 189페이지
  • 가격 2,000원
  • 발행일 2015.02.01
  • 파일종류 아크로벳(pdf)
  • 발행기관
  • 저자
1년차 공약이행 평가로 본 정책 제언 1. 공약이행 평가에 따른 정책 제언 - 이번 1년차 공약이행 중간평가 결과에 따른 정책제언을 몇 가지 제시한다면 첫째, 도민들과 한번 약속한 공약은 추진과정에서 여러 가지 변수 등이 있다고 하더라도
  • 페이지 30페이지
  • 가격 5,000원
  • 발행일 2010.01.20
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 제 1 장 들어가는 말 제 2 장 공무원시험 경쟁률과 우리나라 실업률, GDP통계 제 1 절 변수의 선택 제 2 절 공무원 시험의 경쟁률 제 3 절 우리나라 실업률 통계자료 제 3 절 우리나라 GDP통계자료 제 4 절 계량분석 결과 제 5 절
  • 페이지 32페이지
  • 가격 3,500원
  • 발행일 2009.12.09
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 제 1 장 서 론 1 제 1 절 문제제기와 연구목적 1 1. 문제제기 1 2. 연구목적 2 제 2 절 연구범위와 연구방법 3 1. 연구범위 및 연구방법 3 제 2 장 연구의 이론적 고찰 4 제 1 절 호텔종사원의 직무만족 및 작업환경 과
  • 페이지 56페이지
  • 가격 9,000원
  • 발행일 2010.02.03
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 Ⅰ. 서 론 1) 개 요 2) 기 후 3) 주요내용 4) 문화유산 5) 호이안의 현재모습 Ⅱ. 본 론 1) 호이안의 주요 특징 2) 호이안의 역사(베트남의 고대왕국 참파) 2-1)참족의 역사 3) 호이안의 주요 건물들 3-1) 호이안의 역사적인 건물들
  • 페이지 25페이지
  • 가격 3,000원
  • 발행일 2011.05.30
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
 <목 차> 제 1 장 서 론 1 제 1 절 연구배경 및 목적 1 제 2 절 연구방법과 대상 2 제 3 절 논문의 구성 2 제 2 장 한국 자동차 산업 노사관계 4 1. 노사관계 환경 4 1) 시장 및 기술변화 4 2) 산업구조 및 정책 5 3)
  • 페이지 165페이지
  • 가격 10,000원
  • 발행일 2011.10.31
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
door_lock.vhd library ieee;  use ieee.std_logic_1164.all;  use ieee.std_logic_arith.all;  use ieee.std_logic_unsigned.all;   entity door_lock is port(   clk : in std_logic;     sw1,sw2 : in std_logic;     key1  : in std_logic;     clear : in std_logic;
  • 페이지 51페이지
  • 가격 3,000원
  • 발행일 2012.06.24
  • 파일종류 기타
  • 발행기관
  • 저자
1장은 크게 두 부분으로 나눌 수 있다. 먼저 1:1-5은 서신 형식에 따르면 도입부에 해당하는 부분으로, 여기에는 다른 서신에서 볼 수 있는 따뜻한 인사나 칭찬과 격려를 생략하고, 다만 수신자와 발신자를 밝히며 자신의 사도권에 근거한 축도
  • 페이지 11페이지
  • 가격 3,000원
  • 발행일 2010.10.06
  • 파일종류 한글(hwp)
  • 발행기관
  • 저자
top