• 통합검색
  • 대학레포트
  • 논문
  • 기업신용보고서
  • 취업자료
  • 파워포인트배경
  • 서식

전문지식 152건

Verilog 및 Quartus 소프트웨어 활용 기술 2. 기본 조합 회로 설계 디코더 및 이진수에서 BCD 변환기 3. 기초 산술 회로 설계 덧셈, 뺄셈, 곱셈 4. 래치와 플립플롭의 이해 5. 7세그먼트 디스플레이 심화 내용 6. 순차 회로의 설계 및 분석 7. 랜덤 액
  • 페이지 7페이지
  • 가격 3,000원
  • 등록일 2025.04.27
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리회로 실험에서 주로 사용되는 직접회로는 74XX TTL 계열이며 바이폴라(bipolar)형 트랜지스터로 구성되어 있으며 5V를 "on"(이진수 1)상태로, 0V를 “off”(이진수 0)상태로 사용한다. RRL은 주로 DIP(Dual-in-line Package)형이며 기능에 따라 14핀, 16핀 등
  • 페이지 2페이지
  • 가격 800원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
32 칩의 1번 핀에 연결. 8. 7408 칩의 3번 핀을 7432 칩의 2번 핀에 연결. 9. 7432 칩의 3번 핀은 Cout이 됨. 10. 각 Input에 원하는 값 입력. 논리회로에 각 핀의 번호를 붙여보면 다음과 같다. 1 1 1 2 2 2 3 3 3 4 4 5 5 6 6 회로 구성은 이론과 같이 완성하였으나,
  • 페이지 3페이지
  • 가격 1,500원
  • 등록일 2011.10.02
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
E. 스트링은 겹따음표(\")로 둘러싸며 한 라인을 넘을 수 없다. -> 줄바꿈 \\n, \\t, \\n,\\\\,\\, %% 등을 사용할 수 있다. F. 시스템 기능 연산자를 사용할 수 있다. ex) $ 시스템 기능, $stop G. 시간 지연 연산자를 사용할 수 있다. ex) # 값 H. 컴파일러
  • 페이지 2페이지
  • 가격 2,000원
  • 등록일 2013.09.29
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
논리연산기, 레지스터, 프로그램 카운터, 명령 디코더, 제어회로 등의 연산장치와 제어회로가 하나의 칩에 집적되어 있는 것을 뜻한다. 간단하게 설명하자면, Memory로부터 명령어와 Data를 읽어오고(Fetch), 해독하며(Decode), 특정 일을 수행하는
  • 페이지 39페이지
  • 가격 15,000원
  • 등록일 2020.11.02
  • 파일종류 압축파일
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
code 1. transport delay library ieee; use ieee.std_logic_1164.all; entity bool_func is port ( x : in std_logic; y : out std_logic ); end bool_func; 1. Title: VHDL을 이용한 inertial delay와 transport delay 확인 2. purpose: 3. Theory 4. Data & Result (1) VHDL co
  • 페이지 6페이지
  • 가격 4,200원
  • 등록일 2012.12.17
  • 파일종류 워드(doc)
  • 참고문헌 있음
  • 최근 2주 판매 이력 없음
논리 게이트를 NOR 게이트만으로 구현할 수 있는 가능성 덕분에, NOR 게이트는 논리 회로를 설계할 때 매우 유용한 자원이 된다. 디지털 회로의 설계자들은 NOR 게이트를 통해 보다 복잡한 논리 회로와 상태기계를 구현할 수 있으며, 이로 인해
  • 페이지 6페이지
  • 가격 3,000원
  • 등록일 2025.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
디지털 회로 설계의 혁신적인 발전에 기여할 것으로 기대된다. 1. 다층신경망의 기본 원리 2. 순방향 신경망의 작동 방식 3. 역전파 알고리즘의 이해 4. 다층신경망의 설계 요소 5. MATLAB 프로그래밍 구현 6. 시그모이드 함수의 역할 7. MID
  • 페이지 7페이지
  • 가격 3,000원
  • 등록일 2025.04.30
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
것이 필요하다. 이를 통해 더 나은 이해와 함께 시스템 설계의 완성도를 높일 수 있을 것이다. Ⅰ. 설계 단계 Ⅱ. 이론적 배경 1. 이진 코드 체계 2. 인코더의 역할 3. 10진수 BCD 인코더 ? 8421 인코더 Ⅲ. 회로 설계 Ⅳ. 결론 및 고찰
  • 페이지 5페이지
  • 가격 3,200원
  • 등록일 2025.04.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
코드 (3) 2진 보수 표현법으로 -8부터 7까지의 정수를 4비트로 나타내는 방법 (4) 모듈 인스턴스화 시 포트 매핑의 두 가지 방식 (5) 실습 과제 수행 및 Synthesize ? XST 과정 3. 실험 장비 목록 4. 실험 절차 (1) 1비트 반가산기 1) 행동 수준 모델링
  • 페이지 14페이지
  • 가격 3,200원
  • 등록일 2025.04.12
  • 파일종류 한글(hwp)
  • 참고문헌 없음
  • 최근 2주 판매 이력 없음
top