|
하여 비동기입력 프리세트와 클리어 입력을 갖는 SR 플립플롭을 설계하시오.
sol)
6.8 그림 6.12의 2번과 6번 게이트의 입력에 비동기 입력인 클리어 단자를 연결하시오.
sol)
6.9 두 클럭 전의 입력과 같은 출력을 갖는 동기 순서논리회로를 JK 플립
|
- 페이지 9페이지
- 가격 500원
- 등록일 2007.07.28
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로도도 함께 설계 한다. (패턴 인식기의 출력값을 Display 하는 회로를 조별로 자유롭게 설계하시오)
(1) 설계하고자 하는 패턴 인식 동기 순서 논리회로를 위한 state/oupput table을 작성하시오.
(2) 설계하고자 하는 패턴인식 동기순서 논리회로
|
- 페이지 7페이지
- 가격 1,000원
- 등록일 2010.03.30
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
회로를 그리시오.
표 4.5를 찾을 수가 없습니다.
4.11 복호기의 반대 기능을 갖는 회로를 부호기(encoder)라 한다. 즉, 부호기는 2n개의 서로 다른 정보를 n비트 2진 코드로 바꿔 주는 조합 논리회로이다. 4x2 부호기를 설계하시오.
4.12 MUX의 반대 기능
|
- 페이지 18페이지
- 가격 2,300원
- 등록일 2007.12.01
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
크게 어려움을 느끼지 않았다. 다만 Booth곱셈기를 설계할 때 처음 값을 인가하는 부분에서 실수가 있었는지 출력이 자꾸 0만 떠서 곤란함을 느꼈었지만 크게 늦지 않게 해결하고 집에 갈 수 있었다. 1. 개요
2. 디자인
3. 결론
4. 느낀점
|
- 페이지 19페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
logic이 단순하지는 않다. bit가 커질수록 carry를 표현하는 식이 복잡해진다. 그리고 carry를 계산하는데 복잡해지면서 거기서 delay가 생길 수 있다. 하지만 이는 '4bit CLA'를 module로 사용하게 되면 해결이 되기는 한다. 1. 개요
2. 디자인
3. 결론
|
- 페이지 17페이지
- 가격 1,500원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
설계하였고 IBUF의 필요성을 이해하고 코드 내에서 사용할 수 있었다.
Evaluation
유한 문자열 인식기를 설계하는 실험이었다. 언뜻 보면 어렵고 복잡하다 느낄 수 있지만 상태도를 정확히 그려낼 수 있다면 지난번 실험과 크게 다를 바 없이 상태
|
- 페이지 8페이지
- 가격 1,000원
- 등록일 2014.06.23
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|
|
확인한다.
2. 실험 준비물
- 직류전원장치 1대
- 오실로스코프 1대
- Function Generator 1대
- Bread Board 1대
- Quad 2 Input NAND Gate (74LS00) 6개
- Hex Inverter (74LS04) 3개
3. 설계 실습 계획서
(1) JK Master/Slave 플립플롭의 1’s catching에 대해 조사하라.
|
- 페이지 6페이지
- 가격 1,000원
- 등록일 2010.03.30
- 파일종류 워드(doc)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
논리 레벨로 LED를 켜도록 사용된다. 그림 8-5 의 회로는 실험순서 3에서 나온 표현식을 구현한다. 하지만 출력은 전류를 공급하 기보다는 수요하도록 반전되어 있다.
5. 그림 8-5의 회로는 단지 2개의 게이트만으로 설계 요구를 만족시키고 있지
|
- 페이지 15페이지
- 가격 2,000원
- 등록일 2010.04.07
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
logic;
signal D1 : std_logic_vector(7 downto 0);
signal x1 : std_logic_vector(2 downto 0);
component encoder_be -- 테스트 할 대상인 encoder의 회로 이용을 선언, decoder의 input x와 output d가 반전된 encoder이므로 d를 input, x를 output으로 선언, en은 그대로 input
port(
EN : in Std_logic
|
- 페이지 7페이지
- 가격 1,500원
- 등록일 2017.06.24
- 파일종류 한글(hwp)
- 참고문헌 없음
- 최근 2주 판매 이력 없음
|
|
프로젝트를 해결해나가면서 한단계한단계 순차적으로 문제를 해결하는 방법을 배웠다.
참고문헌
[1] Using the New Verilog-2001 Standard PartTwo : Verifying Designs
(by Stuart Sutherland, Suther land H이, inc. portland, Oregon)
[2] Virtualization of Heterogeneous Machines, Hardware D
|
- 페이지 28페이지
- 가격 3,300원
- 등록일 2013.05.16
- 파일종류 한글(hwp)
- 참고문헌 있음
- 최근 2주 판매 이력 없음
|